Improving Performance: Pipelining!

Size: px
Start display at page:

Download "Improving Performance: Pipelining!"

Transcription

1 Iproving Perforance: Pipelining! Meory General registers Meory ID EXE MEM WB Instruction Fetch (includes PC increent) ID Instruction Decode + fetching values fro general purpose registers EXE EXEcute arithetic/logic operations or address coputation MEM MEMory access or branch copletion WB Write Back results to general purpose registers (a.k.a. Coit) Inf3 Coputer Architecture

2 Phases of Instruction Execution!! Instruction Fetch! InstructionRegister = Me (INST, PC)!! Decoding! Generate datapath control signals! Deterine register operands!! Operand Assebly! Trivial for soe ISAs, not for others! E.g. select between literal or register operand; operand pre-scaling! Soeties considered to part of the Decode phase!! Function Evaluation or Address Calculation! Add, subtract, shift, logical, etc.! Address calculation is siply unsigned addition!! Meory Access (if required)! Load: Data = Me(DATA, MeAddress, Size)! Store: MeWrite (DATA, MeAddress, WriteData, Size)!! Copletion! Update processor state odified by this instruction! Interrupts or exceptions ay prevent state update fro taking place! Inf3 Coputer Architecture

3 Instruction fetch!! fro Instruction Cache at address given by PC!! Increent PC, i.e. PC = PC + sizeof(instruction)! 4 Add PC Instruction eory Address Data Inf3 Coputer Architecture

4 MIPS R-type instruction forat (revision)! 6 bits 5 bits 5 bits 5 bits 5 bits 6 bits opcode reg rs reg rt reg rd shat funct Destination register for R-type forat add $1, $2, $3 special $2 $3 $1 add sll $4, $5, 16 special $5 $4 16 sll Inf3 Coputer Architecture

5 MIPS I-type instruction forat (revision)! 6 bits 5 bits 5 bits 16 bits opcode reg rs reg rt iediate value/addr Destination register for Load lw $1, offset($2) lw $2 $1 address offset beq $4, $5,.L001 beq $4 $5 (PC -.L001) >> 2 addi $1, $2, -10 addi $2 $1 0xfff6 Inf3 Coputer Architecture

6 ing Registers!! Use source register fields to address the register file and read two registers!! Select the destination register address, according to the forat! 4 Add PC Instruction eory Address Data inst [25:21] inst [20:16] Register File Addr 0 Addr 1 Data 0 Data 1 inst [15:11] Write Addr Write Data RegDst Inf3 Coputer Architecture

7 Extracting the literal operand!! Sign-extend the 16-bit literal field, for those instructions that have a literal! 4 Add PC Instruction eory Address Data inst [25:21] inst [20:16] Register File Addr 0 Addr 1 Data 0 Data 1 inst [15:11] Write Addr Write Data RegDst inst [15:0] Sign extend Verilog lit = { {16{inst[15]}}, inst[15:0] } Inf3 Coputer Architecture

8 Perforing the Arithetic!! Perfor arithetic or logical operation on Data 0 and either Data 1 or the sign-extended literal! 4 Add PC Instruction eory Address Data inst [25:21] inst [20:16] Register File Addr 0 Addr 1 Data 0 Data 1 ALU inst [15:11] Write Addr Write Data RegDst inst [15:0] Sign extend Inf3 Coputer Architecture

9 Inside the ALU!! Adder, Logic Unit, and Barrel Shifter are separate cobinational logic blocks! AndOp XorOp OrOp Logic unit A B + A Cout Add B Cin u x ==0 Zero Result SubtractOp Barrel shifter B [4:0] LeftOp SignedOp ShiftOp Inf3 Coputer Architecture

10 Coputing Branch Displaceents!! Copute su of PC and scaled, sign-extended literal displaceent!! Can t share ALU, it ight be needed for coparisons during branch operations! 4 Add << 2 Add PCsrc PC Instruction eory Address Data inst [25:21] inst [20:16] Register File Addr 0 Addr 1 Data 0 Data 1 ALU inst [15:11] Write Addr Write Data RegDst inst [15:0] Sign extend Inf3 Coputer Architecture

11 Accessing Meory Loads & Stores!! Load and Store instructions use the ALU result as the effective address!! Store instructions use Data 1 as the store data! 4 Add << 2 Add PCsrc PC Instruction eory Address Data inst [25:21] inst [20:16] inst [15:11] Register File Addr 0 Addr 1 Write Addr Write Data Data 0 Data 1 ALU MeRd MeWr Data Meory Address Write data data LoadReg RegDst inst [15:0] Sign extend Inf3 Coputer Architecture

12 Decoding Instructions!! Control signals driven by cobinational logic, based on instruction opcode! 4 Add LoadReg << 2 Add MeWr inst [31:26] Decode logic MeRd PCsrc ALUop ALUsrc PC Instruction eory Address Data inst [25:21] inst [20:16] inst [15:11] inst [5:0] RegDst Register File Addr 0 Addr 1 Write Addr Write Data Data 0 Data 1 ALU ALU decode zero Data Meory Address Write data data inst [15:0] Sign extend Inf3 Coputer Architecture

13 Pipelined Instruction Execution! action Phases of Instruction Execution Fetch Decode Execute Meory Write clock Write Write Write Write Write Write Meory Meory Meory Meory Meory Meory Execute Execute Execute Execute Execute Execute Decode Decode Decode Decode Decode Decode 1 Fetch 2 Fetch 3 Fetch Fetch 4 Fetch 5 Fetch 2 tie Inf3 Coputer Architecture

14 CPU Pipeline Structure! DEC EX MEM WB Decode logic EX MEM MEM 4 Add PC+4 WB PC+4 << 2 Add WB bpc WB [31:26] PC Instruction eory Address Data [25:21] [20:16] Register File Addr 0 Addr 1 Write Data Write Addr Data 0 Data 1 ALU zero Branch decision Data Meory Address Write data data [15:0] Sign extend 6 ALU decode [15:11] Inf3 Coputer Architecture

15 Ipleentation Issues: Pipeline balance!! Each pipeline stage is a cobinational logic network! Registered inputs and outputs! Longest circuit delay through all stages deterines clock period! D D Q Q Pipeline Stage Logic D D Q Q Ideally, all delays through every pipeline stage are identical In practice this is hard to achieve clk1 D Q clk2 Clock tree clock Inf3 Coputer Architecture

16 Representing a sequence of instructions!! Space-tie diagra of pipeline!! Think of each instruction as a tie-shifted pipeline! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 Instruction 1 Instruction 2 Instruction 3 Instruction 4 Instruction 5 Inf3 Coputer Architecture

17 Inforation flow constraints!! Inforation fro one instruction to any successor, ust always ove fro left to right! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 Instruction 1 Instruction 2 Instruction 3 Instruction 4 Instruction 5 Inf3 Coputer Architecture

18 Another way to represent pipeline tiing!! A siilar, and slightly sipler, way to represent pipeline tiing:! Clock cycles progress left to right! Instructions progress top to botto! Tie at which each instruction is present in each pipeline stage is shown by labelling appropriate cell with pipeline nae!! This for is used in H&P, and throughout the reainder of these notes.! Instruction \ cycle instruction 1 DEC EX MEM WB instruction 2 DEC EX MEM WB instruction 3 DEC EX MEM WB instruction 4 DEC EX MEM WB instruction 5 DEC EX MEM WB Inf3 Coputer Architecture

19 Pipeline Hazards!! Hazards are pipeline events that restrict the pipeline flow!! They occur in circustances where two or ore activities cannot proceed in parallel!! There are three types of hazard:! Structural Hazards!! Arise fro resource conflicts, when a set of actions have to be perfored sequentially because there is not sufficient resource to operate in parallel! Data Hazards!! Occur when one instruction depends on the result of a previous instruction, and that result is not yet available. These hazards are exposed by the overlapped execution of instructions in a pipeline! Control Hazards!! These arise fro the pipelining of branch instructions, and other activities that change the PC.! Inf3 Coputer Architecture

20 Structural Hazards!! Multi-cycle operations!! Meory or register file port restrictions! Exaple structural hazard caused by having only one eory port Instruction \ cycle lw $1, ($2) DEC EX M EM WB instruction 2 DEC EX M EM WB instruction 3 DEC EX M EM WB instruction 4 DEC EX M EM WB instruction 5 DEC EX M EM WB Effect is to STALL instruction 4, delaying its entry to by one cycle Instruction \ cycle lw $1, ($2) DEC EX M EM WB instruction 2 DEC EX M EM WB instruction 3 DEC EX M EM WB instruction 4 DEC EX M EM WB instruction 5 DEC EX M EM WB Inf3 Coputer Architecture

21 Data Hazards!! Overlapped execution of instructions eans inforation ay be required before it is available.! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 ADD R1, R2, R3 SUB R4, R1, R5 AND R6, R1, r7 OR R8, r1, R9 XOR R10, R1, R11 Inf3 Coputer Architecture

22 Data hazards lead to pipeline stalls!! SUB instruction ust wait until R1 has been written to register file!! All subsequent instructions are siilarly delayed! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 ADD R1, R2, R3 SUB R4, R1, R5 STALL AND R6, R1, r7 OR R8, r1, R9 XOR R10, R1, R11 Inf3 Coputer Architecture

23 Miniising data hazards by data-forwarding!! Key idea is to bypass the register file and forward inforation, as soon as it becoes available within the pipeline, to the place it is needed.! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 ADD R1, R2, R3 SUB R4, R1, R5 AND R6, R1, r7 OR R8, r1, R9 XOR R10, R1, R11 Inf3 Coputer Architecture

24 CPU pipeline showing forwarding paths! DEC EX MEM WB Decode logic EX MEM MEM PC 4 Add Instruction eory Address Data PC+4 [31:26] [25:21] [20:16] Dependency checks Register File Addr 0 Addr 1 Write Data Write Addr Data 0 Data 1 WB PC+4 Add << 2 ALU WB bpc zero Branch decision Data Meory Address Write data data WB [15:0] Sign extend 6 ALU decode [15:11] Inf3 Coputer Architecture

25 Data hazards requiring a stall!! Hazards involving the use of a Load result usually require a stall, even if forwarding is ipleented! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 LW R1, (R2) SUB R4, R1, R5 STALL Reg ALU Me Reg AND R6, R1, r7 OR R8, r1, R9 XOR R10, R1, R11 Inf3 Coputer Architecture

26 Code scheduling to avoid stalls (before)!! Hazards involving the use of a Load ay be avoided by reordering the code! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 LW R1, 2(R2) LW R3, 4(R1) Reg STALL ALU Me Reg ADD R4, R4, R3 Reg STALL ALU Me Reg ADD R1, R1, 4 SUB R9, R9, 1 Inf3 Coputer Architecture

27 Code scheduling to avoid stalls (after)!! SUB is entirely independent of other instructions place after 1 st load!! ADD to R1 can be placed after LW to R3 to hide the load delay on R3! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 LW R1, 2(R2) SUB R9, R9, 1 LW R3, 4(R1) ADD R1, R1, 4 ADD R4, R4, R3 Inf3 Coputer Architecture

28 General Perforance Ipact of Hazards! CPI unpipelined Speedup fro pipelining: S = CPI pipelined x clock unpipelined clock pipelined CPI pipelined = ideal CPI + stall cycles per instruction = 1 + stall cycles per instruction CPI unpipelined ~ pipeline depth clock unpipelined clock pipelined ~ 1 S = pipeline depth 1 + stall cycles per instruction Inf3 Coputer Architecture

29 Ipact of Epty Load-delay Slots on CPI! FP structural stalls FP result stalls CPI copress eqntott espresso gcc li doduc Benchark ear hydro2d dljdp su2cor Branch stalls Load stalls Base CPI H&P Fig. A.48! Botto-line: CPI increase of 0.01 to 0.27 cycles! Inf3 Coputer Architecture

30 Control Hazards!! When a branch is executed, PC is not affected until the branch instruction reaches the MEM stage.!! By this tie 3 instructions have been fetched fro the fall-through path.! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 BEQZ R1, label SUB R4, R2, R5 Kill instructions in EX, DEC and as they ove forwards AND R6, R2, r7 OR R8, r2, R9 : : label: XOR R10, R1, R11 Inf3 Coputer Architecture

31 Effect of branch penalty on CPI!! In this exaple pipeline the cost of each branch is:!! 1 cycle, if the branch is not taken!! 4 cycles, if the branch is taken!! If an equal nuber of branches are taken and not taken, and if 20% of all instructions are branches (a reasonable assuption), then! CPI = *2.5 = 1.3! This is a significant reduction in perforance!! If the pipeline was deeper, with 2 stages for ALU and 2 stages for Decode, then:! Cost of taken branch would be 6 cycles! CPI = *3.5 = 1.5!! Deeper pipelines have greater branch penalties, and potentially higher CPI!! Pentiu 4 (Prescott) had 31 pipeline stages! (this was too deep)!! Several iportant techniques have been developed to reduce branch penalties!! Early branch outcoe!! Delayed branches!! Branch prediction (static and dynaic)! Inf3 Coputer Architecture

32 Early branch outcoe calculation - BEQZ, BNEZ! DEC EX MEM WB Decode logic EX MEM MEM 4 Add PC+4 << 2 Add WB WB WB [31:26] RD0 == 0? PC Instruction eory Address Data [25:21] [20:16] Register File Addr 0 Addr 1 Write Data Write Addr Data 0 Data 1 ALU Data Meory Address Write data data [15:0] Sign extend 6 ALU decode [15:11] Inf3 Coputer Architecture

33 Delayed branch execution!! Always execute the instruction iediately after the branch, regardless of branch outcoe.! c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 SUB R4, R2, R5 BEQZ R1, label OR R8, r2, R9 : : Before: instruction after the branch gets killed if the branch is taken label: XOR R10, R1, R11 BEQZ R1, label SUB R4, R2, R5 label: XOR R10, R1, R11 Branch delay slot After: by oving the SUB instruction into the branch delay slot, and executing it unconditionally, the 1-cycle penalty is eliinated Inf3 Coputer Architecture

34 Ipact of Branch Hazards on CPI! FP structural stalls FP result stalls CPI copress eqntott espresso gcc li doduc Benchark ear hydro2d dljdp su2cor Branch stalls Load stalls Base CPI H&P Fig. A.48! Botto-line: CPI increase of 0.06 to 0.62 cycles! Inf3 Coputer Architecture

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold Pipelining Readings: 4.5-4.8 Example: Doing the laundry Ann, Brian, Cathy, & Dave A B C D each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Pipelined MIPS Datapath with Control Signals

Pipelined MIPS Datapath with Control Signals uction ess uction Rs [:26] (Opcode[5:]) [5:] ranch luor. Decoder Pipelined MIPS path with Signals luor Raddr at Five instruction sequence to be processed by pipeline: op [:26] rs [25:2] rt [2:6] rd [5:]

More information

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon]

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Anne Bracy CS 3410 Computer Science Cornell University [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Prog. Mem PC +4 inst Reg. File 5 5 5 control ALU Data Mem Fetch Decode Execute Memory WB

More information

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley.

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley. CS152: Computer Architecture and Engineering Introduction to Pipelining October 22, 1997 Dave Patterson (http.cs.berkeley.edu/~patterson) lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/ cs 152

More information

Hakim Weatherspoon CS 3410 Computer Science Cornell University

Hakim Weatherspoon CS 3410 Computer Science Cornell University Hakim Weatherspoon CS 3410 Computer Science Cornell University The slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, McKee, and Sirer. memory inst register

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design ENGN64: Design of Computing Systems Topic 5: Pipeline Processor Design Professor Sherief Reda http://scale.engin.brown.edu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Homework 1 submission

More information

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士 Computer Architecture 计算机体系结构 Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I Chao Li, PhD. 李超博士 SJTU-SE346, Spring 2018 Review ISA, micro-architecture, physical design Evolution of ISA CISC vs

More information

CIS 662: Sample midterm w solutions

CIS 662: Sample midterm w solutions CIS 662: Sample midterm w solutions 1. (40 points) A processor has the following stages in its pipeline: IF ID ALU1 MEM1 MEM2 ALU2 WB. ALU1 stage is used for effective address calculation for loads, stores

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

COSC 6385 Computer Architecture. - Tomasulos Algorithm

COSC 6385 Computer Architecture. - Tomasulos Algorithm COSC 6385 Computer Architecture - Tomasulos Algorithm Fall 2008 Analyzing a short code-sequence DIV.D F0, F2, F4 ADD.D F6, F0, F8 S.D F6, 0(R1) SUB.D F8, F10, F14 MUL.D F6, F10, F8 1 Analyzing a short

More information

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS Lipasti, artin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar GAS STATION Pipelining II Fall 2007 Prof. Thomas Wenisch http://www.eecs.umich.edu/courses/eecs470 Slides developed in part by Profs. Austin,

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

M2 Instruction Set Architecture

M2 Instruction Set Architecture M2 Instruction Set Architecture Module Outline Addressing modes. Instruction classes. MIPS-I ISA. High level languages, Assembly languages and object code. Translating and starting a program. Subroutine

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer.

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer. To read more CS 6354: Tomasulo 21 September 2016 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer Architecture:

More information

CS 6354: Tomasulo. 21 September 2016

CS 6354: Tomasulo. 21 September 2016 1 CS 6354: Tomasulo 21 September 2016 To read more 1 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Study Period 2, 29 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Mafijul Islam Department of Computer Science and Engineering November 12, 29 Study Period 2, 29 Goals: To understand

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining CMU 18-447 Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining Instructor: Prof. Onur Mutlu TAs: Justin Meza, Yoongu Kim, Jason Lin 1 Adding the REP

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 15 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

FabComp: Hardware specication

FabComp: Hardware specication Sol Boucher and Evan Klei CSCI-453-01 04/28/14 FabComp: Hardware specication 1 Hardware The computer is composed of a largely isolated data unit and control unit, which are only connected by a couple of

More information

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3 David Wentzlaff Department of Electrical Engineering Princeton University 1 Agenda SpeculaJon and Branches Register Renaming Memory DisambiguaJon

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

CSCI 510: Computer Architecture Written Assignment 2 Solutions

CSCI 510: Computer Architecture Written Assignment 2 Solutions CSCI 510: Computer Architecture Written Assignment 2 Solutions The following code does compution over two vectors. Consider different execution scenarios and provide the average number of cycles per iterion

More information

145.2 ENGLISH. Courtesy of Crane.Market

145.2 ENGLISH. Courtesy of Crane.Market 5. ENGLISH Standards Optionals Hexagonal profile boos "STAND UP OPERATOR" platfor Rack rotation Swing-up stabilizers, hydraulically activated ETL (Effer Twin Links) Winch Sequence valve Crane adjustent

More information

237. Compatibility Issues of HHO Cell With Internal Combustion Engine

237. Compatibility Issues of HHO Cell With Internal Combustion Engine Energy, Environent and Sustainable Developent 016 (EESD 016) 37. Copatibility Issues of HHO Cell With Internal Cobustion Engine Kishore Kuar a, Zeeshan Ali b, Javed Rahan larik b a Postgraduate Student,

More information

Tomasulo-Style Register Renaming

Tomasulo-Style Register Renaming Tomasulo-Style Register Renaming ldf f0,x(r1) allocate RS#4 map f0 to RS#4 mulf f4,f0, allocate RS#6 ready, copy value f0 not ready, copy tag Map Table f0 f4 RS#4 RS T V1 V2 T1 T2 4 REG[r1] 6 REG[] RS#4

More information

igubal Pillow Block Lifetime calculation, configuration and more igubal pillow block

igubal Pillow Block Lifetime calculation, configuration and more   igubal pillow block igubal Pillow Block Maintenance-free, dry running High tensile strength High endurance strength Can be used in cobination with E series rod ends Lightweight 801 igubal igubal Pillow Block The igubal bearings

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

ENGLISH. Courtesy of Crane.Market

ENGLISH. Courtesy of Crane.Market ENGLISH Standards Optionals Hexagonal profile boos Wind & Drive Crane foldable with fly-jib without disounting winch coponents ETL (Effer Twin Links) Swing-up stabilizers, hydraulically activated Sequence

More information

CS 250! VLSI System Design

CS 250! VLSI System Design CS 250! VLSI System Design Lecture 3 Timing 2014-9-4! Professor Jonathan Bachrach! slides by John Lazzaro TA: Colin Schmidt www-insteecsberkeleyedu/~cs250/ UC Regents Fall 2013/1014 UCB everything doesn

More information

Performance Analysis of EV Powertrain system with/without transmission

Performance Analysis of EV Powertrain system with/without transmission Page000629 EVS25 Shenzhen, China, Nov 5-9, 2010 Perforance Analysis of EV Powertrain syste with/without transission CHANG CHIH-MING 1, SIAO JHENG-CIN 2 1 Energy and agile syste departent, Metal Industries

More information

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View)

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View) 128 Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory FEATURES Full Military temp (-55 C to 125 C) processing available Configuration: 8 Meg x 16 (2 Meg x 16 x 4 banks) Fully synchronous; all signals registered

More information

SAFETY ASSESSMENT OF COOPERATIVE VEHICLE INFRASTRUCTURE SYSTEM-BASED URBAN TRAFFIC CONTROL

SAFETY ASSESSMENT OF COOPERATIVE VEHICLE INFRASTRUCTURE SYSTEM-BASED URBAN TRAFFIC CONTROL SAFETY ASSESSMENT OF COOPERATIVE VEHICLE INFRASTRUCTURE SYSTEM-BASED URBAN TRAFFIC CONTROL Joyoung Lee, Ph.D. Research Associate, Departent of Civil and Environental Engineering, University of Virginia,

More information

CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 14 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

Important note To cite this publication, please use the final published version (if applicable). Please check the document version above.

Important note To cite this publication, please use the final published version (if applicable). Please check the document version above. Delft University of Technology Clutched elastic actuators Plooij, Michaël; Wolfslag, Wouter; Wisse, Martijn DOI 0.09/TMECH.207.2657224 Publication date 207 Docuent Version Accepted author anuscript Published

More information

Lifting device Type Sulzer /

Lifting device Type Sulzer / Lifting device Type Sulzer 61260280 / 61260281 1 597 0535 EN 10.2016 en Installation and Operating Instructions Translation fro original instruction www.sulzer.co Installation and Operating Instructions

More information

Ceiling Slot Diffusers

Ceiling Slot Diffusers Ceiling Slot Diffusers Series CJD, CJD-LED, CJD-MF, CSD, CSDA/I, CSDE, CSDF, CSDL, CSDR, CSD-T, CSD-MF, CWD, CWD-MF B CJD & CJD-LED Ceiling Jet Diffuser & Lighted Ceiling Jet Diffuser CSD Ceiling Slot

More information

512M(16MX32) Low Power DDR SDRAM

512M(16MX32) Low Power DDR SDRAM 512M(16MX32) Low Power DDR SDRAM Revision 0.2 Aug. 2012 1 Docuent Title 512M(16MX32) Low Power DDR SDRAM Revision History Revision No. History Draft date Reark 0.0 Initial Draft Mar. 22 nd, 2012 Preliinary

More information

TGBgroup. Slewing Rings

TGBgroup. Slewing Rings TGBgroup Slewing Rings WWW.TGB-GROP.COM 1 2 3 TGB Group With over 20 years of experience in bearings, gears and power transission, the TGB Group has becoe a global leader in the developent and production

More information

Analysis of Tracked Vehicle Vibration Response Considering the Track Circuit Vibration

Analysis of Tracked Vehicle Vibration Response Considering the Track Circuit Vibration 7 nd International Conference on Mechanical Control and Autoation ICMCA 7 IBN: 978659568 Analysis of Tracked Vehicle Vibration Response Considering the Track Circuit Vibration Yu UAN *, Qiang RUI and Hongyan

More information

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3 ECE 552 / CPS 550 Advanced Comuter Architecture I Lecture 10 Instruction-Level Parallelism Part 3 Benjamin Lee Electrical and Comuter Engineering Duke University www.duke.edu/~bcl15 www.duke.edu/~bcl15/class/class_ece252fall12.html

More information

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks SYNCHRONOUS DRAM 128Mb: x32 MT48LC4M32B2-1 Meg x 32 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/sdramds FEATURES PC100 functionality Fully synchronous; all

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Analytical framework for analyzing the energy conversion efficiency of different hybrid electric vehicle topologies

Analytical framework for analyzing the energy conversion efficiency of different hybrid electric vehicle topologies Analytical fraework for analyzing the energy conversion efficiency of different hybrid electric vehicle topologies Toaž Katrašnik a,* a Faculty of Mechanical Engineering, University of Ljubljana, Aškerčeva

More information

Photo-voltaic system design and lab. Solar Panels. SP.721 (D-Lab) Fall 2004 Session #23 notes

Photo-voltaic system design and lab. Solar Panels. SP.721 (D-Lab) Fall 2004 Session #23 notes SP.71 (D-Lab) Fall 004 Session #3 notes Photo-voltaic syste design and lab Guest Speaker Dr. Ji Bales, MIT; Assistant Director of the Edgerton Center. Background: PhD at MIT in experiental solid state

More information

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM JANUARY 2007 FEATURES Clock frequency: 183, 166, 143 MHz Fully synchronous; all signals referenced to a positive clock edge Internal bank

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

Application: Blow Moulding Machines

Application: Blow Moulding Machines Application: Blow Moulding Machines Market: Plastic Machinery Branch: Blow Moulding Machines CC-Code: A2111 Blow oulding achine for the production of bottles and containers for the food-/pharaceutic-/cheical-industry.

More information

JJMIE Jordan Journal of Mechanical and Industrial Engineering

JJMIE Jordan Journal of Mechanical and Industrial Engineering JJMIE Jordan Journal of Mechanical and Industrial Engineering Volue, Nuber 3,Sep. 008 ISSN 995-6665 Pages 57-6 Matheatical Modeling for Pup Controlled Syste of Hydraulic Drive Unit of Single Bucket Excavator

More information

IS42S32200L IS45S32200L

IS42S32200L IS45S32200L IS42S32200L IS45S32200L 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM OCTOBER 2012 FEATURES Clock frequency: 200, 166, 143, 133 MHz Fully synchronous; all signals referenced to a positive

More information

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems Chapter 8 Registers SKEE2263 igital Systems Mun im Zabidi {munim@utm.my} Ismahani Ismail {ismahani@fke.utm.my} Izam Kamisian {e-izam@utm.my} Faculty of Electrical Engineering, Universiti Teknologi Malaysia

More information

Operating instructions torque limiters ECE, ECG, ECI, ECR, ECH

Operating instructions torque limiters ECE, ECG, ECI, ECR, ECH Operating instructions torque liiters ECE, ECG, ECI, ECR, ECH Contents 1. Assebly drawings 2. Construction and function 2.1 Construction 2.2 Function 3. Torque liiter design 3.1 Choosing the right torque

More information

Probabilistic and sensitive analysis of the secondary air system of a two-spool engine

Probabilistic and sensitive analysis of the secondary air system of a two-spool engine Proceedings of Montreal 218 Global Power and Propulsion Foru 7 th 9 th May, 218 www.pps.global GPPS-218-8 Probabilistic and sensitive analysis of the secondary air syste of a two-spool engine Shuangguo

More information

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures Lecturer SOE Dan Garcia inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 31 Caches II 2008-04-12 HP has begun testing research prototypes of a novel non-volatile memory element, the

More information

Electric wire rope hoists

Electric wire rope hoists Electric wire rope hoists ABUS GM wire rope hoists: Quality at your fingertips Type D crab units on double-girder overhead travelling cranes Type E onorail hoist on a type VS pillar jib crane Two type

More information

An Energy Storage Technique for Gearless Wind Power Systems

An Energy Storage Technique for Gearless Wind Power Systems 1 An Energy Storage Technique for Gearless Wind Power Systes Sina Hazehlouia, Meber, IEEE, Afshin Izadian, Senior Meber, IEEE, and Sohel Anwar Abstract Hydraulic wind power transfer systes allow collecting

More information

PQS Quality Assurance protocol

PQS Quality Assurance protocol PQS Quality Assurance protocol WHO/PQS/E03/PV01-VP2.1 Original: English Distribution: General TITLE: Solar power syste for copression-cycle vaccine refrigerator or cobined refrigerator-icepack freezer

More information

EXPERIMENTAL DETERMINATION OF DOUBLE VIBE FUNCTION PARAMETERS IN DIESEL ENGINES WITH BIODIESEL

EXPERIMENTAL DETERMINATION OF DOUBLE VIBE FUNCTION PARAMETERS IN DIESEL ENGINES WITH BIODIESEL Pešić, R. B., et.al.: Eperiental Deterination of Double Vibe Function THERMAL SIENE: Year 200, Vol. 4, Suppl., pp. S97-S208 S97 EXPERIMENTAL DETERMINATION OF DOUBLE VIBE FUNTION PARAMETERS IN DIESEL ENGINES

More information

Helium Stand-Up Wheelchair

Helium Stand-Up Wheelchair Heliu Stand-Up Wheelchair Owner s Manual How to contact LifeStand USA Perobil Inc. 300 Duke Drive Lebanon, TN 37090 PH: 800.736.0925 FAX: 800.231.3256 info@perobil.co techsupport@perobil.co CANADA Perobil,

More information

Hand of Thread & Number of Starts (Right hand, Single thread) Module(2) Type( Duplex Worm)

Hand of Thread & Number of Starts (Right hand, Single thread) Module(2) Type( Duplex Worm) Table of Contents pecial Characteristics, Points of Caution in electing and Using ors, or heels... page D Duplex ors, D Duplex ors hafts, AD or heels... page round or hafts, AF or heels... page round ors,

More information

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ CONFIGURATION. None SPEED GRADE

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ CONFIGURATION. None SPEED GRADE SYNCHRONOUS DRAM 52Mb: x4, x8, x6 MT48LC28M4A2 32 MEG x 4 x 4 S MT48LC64M8A2 6 MEG x 8 x 4 S MT48LC32M6A2 8 MEG x 6 x 4 S For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

07 GRP07_All Transmissions.doc

07 GRP07_All Transmissions.doc 07 GRP07_All s.doc S SEONDARY MALF S Pedal Position Signal P0120 AN: Protocol for TM to receive engine control inputs fro Engine Module. AN confirs essages are being received via BUS failure tier. AN bits

More information

IMPORTANT! Electric Tiller/Cultivator. Safety Instructions All Operators Must Read These Instructions Before Use SAVE THESE INSTRUCTIONS

IMPORTANT! Electric Tiller/Cultivator. Safety Instructions All Operators Must Read These Instructions Before Use SAVE THESE INSTRUCTIONS Electric Tiller/Cultivator Model No. TJ600E For No. SJ-TJ600E-880E A Division of Snow Joe, LLC IMPORTANT! Safety Instructions All Operators Must Read These Instructions Before Use Always follow these safety

More information

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC SYNCHRONOUS DRAM 64Mb: x4, x8, x16 MT48LC16M4A2 4 Meg x 4 x 4 banks MT48LC8M8A2 2 Meg x 8 x 4 banks MT48LC4M16A2 1 Meg x 16 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/mti/msp/html/datasheet.html

More information

HPG Gearhead Series. Sizes

HPG Gearhead Series. Sizes HighPerforance earhead for Servootors HP Standard Series,,,, 0, 6 Peak torque N 0N Reduction ratio Single Stage: :1 to 9:1, Two Stage: :1 to 0:1 Low Backlash s Standard: < arcin Optional:

More information

DQ0 NC DQ1 DQ0 DQ2 DQ3 DQ Speed Grade

DQ0 NC DQ1 DQ0 DQ2 DQ3 DQ Speed Grade Features SDRAM MT48LC32M4A2 8 Meg x 4 x 4 banks MT48LC16M8A2 4 Meg x 8 x 4 banks MT48LC8M16A2 2 Meg x 16 x 4 banks For the latest data sheet, refer to Micron s Web site: www.micron.com Features PC100 and

More information

CAT.E101 B. Electric Actuators

CAT.E101 B. Electric Actuators CAT.E Electric Actuators Siplified Selection Flow Chart Single Axis Electric Actuator Series LJ (AC Servootor) Series Clean roo Dust seal rake Work load kg Maxiu speed /s Positioning repeatability Lead

More information

DEVELOPMENT OF WIND TURBINE SYSTEMS WITH PARALLEL CONNECTIONS OF DIFFERENT TYPES GENERATORS

DEVELOPMENT OF WIND TURBINE SYSTEMS WITH PARALLEL CONNECTIONS OF DIFFERENT TYPES GENERATORS ELECTROTECHNICS, ELECTRONICS, AUTOMATIC CONTROL, INFORMATICS DEVELOPMENT OF WIND TURBINE SYSTEMS WITH PARALLEL CONNECTIONS OF DIFFERENT TYPES GENERATORS Madalin Costin*, Elena Voncila*, IonVoncila*, Cristian

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

OWNER`S MANUAL. Permobil C350. Power Wheelchair

OWNER`S MANUAL. Permobil C350. Power Wheelchair OWNER`S MANUAL UK Perobil C350 Power Wheelchair Where to find Perobil Perobil Office 312 Britannia Office Quarter Waters Meeting Britannia Way BL1 8SW Bolton, United Kingdo Tel: (+44) (0)1484 722 888

More information

A Mean Value Internal Combustion Engine Model in MapleSim

A Mean Value Internal Combustion Engine Model in MapleSim A Mean Value Internal Cobustion Engine Model in MapleSi by Mohaadreza Saeedi A thesis presented to the University of Waterloo in fulfillent of the thesis requireent for the degree of Master of Applied

More information

Notes: Clock Frequency (MHz) Target t RCD- t RP-CL t RCD (ns) t RP (ns) CL (ns) A

Notes: Clock Frequency (MHz) Target t RCD- t RP-CL t RCD (ns) t RP (ns) CL (ns) A SDR SDRAM MT48LC4M32B2 1 Meg x 32 x 4 s 128Mb: x32 SDRAM Features Features PC100-compliant Fully synchronous; all signals registered on positive edge of system clock Internal pipelined operation; column

More information

Influence of shot peening intensity on fatigue design reliability of 65Si7 spring steel

Influence of shot peening intensity on fatigue design reliability of 65Si7 spring steel Indian Journal of Engineering & Materials Sciences Vol. 1, Deceber 005, pp. 515-50 Influence of shot peening intensity on fatigue design reliability of 65Si7 spring steel M L Aggarwal a*, R A Khan b &

More information

Investigation of Brake Force Distribution for Three axle Double Deck Bus in Thailand

Investigation of Brake Force Distribution for Three axle Double Deck Bus in Thailand The 20th Conference of Mechanical Engineering Network of Thailand 18-20 October 2006, Nakhon Ratchasia, Thailand Investigation of Brake orce Distribution for Three axle Double Deck Bus in Thailand Saiprasit

More information

Purifying Moving Designing Vitalising Lighting Laying. Pumps

Purifying Moving Designing Vitalising Lighting Laying. Pumps Purifying Moving Designing Vitalising Lighting Laying Pups Prograe and technical specifications 2018 Every water project relies on the circulation pup; without a pup, everything coes to a standstill. Whether

More information

International Journal of Advanced Engineering Research and Science (IJAERS) [Vol-2, Issue-5, May- 2015] ISSN:

International Journal of Advanced Engineering Research and Science (IJAERS) [Vol-2, Issue-5, May- 2015] ISSN: Interpreting & Modeling Concentration in Air with Traffic Density using Artificial Neural Network with Reference to Nagpur City Shekhar L. Pandharipande 1, Shubha R. Joshi 2 1 Associate Professor, Departent

More information

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ SYNCHRONOUS DRAM ADVANCE MT48LC28M4A2 32 Meg x 4 x 4 banks MT48LC64M8A2 6 Meg x 8 x 4 banks MT48LC32M6A2 8 Meg x 6 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

DIESEL & PETROL ENGINE SETTING/LOCKING & TIMING ADJUSTMENT KIT - FOR CITROEN, PEUGEOT, RENAULT.

DIESEL & PETROL ENGINE SETTING/LOCKING & TIMING ADJUSTMENT KIT - FOR CITROEN, PEUGEOT, RENAULT. VS125 DIESEL & PETROL ENGINE SETTING/LOCKING & TIMING ADJUSTMENT KIT - FOR CITROEN, PEUGEOT, RENAULT. STANDARD PARTS LIST 1 VS125/C1 INJ. PUMP LOCKING PIN 2 VS125/C2 INJ. PUMP LOCKING PIN 3 VS125/C3 BALANCE

More information

UC Berkeley CS61C : Machine Structures

UC Berkeley CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 20 Synchronous Digital Systems Blu-ray vs HD-DVD war over? As you know, there are two different, competing formats for the next

More information

Mathematical Model and Experiment of Temperature Effect on Discharge of Lead-Acid Battery for PV Systems in Tropical Area

Mathematical Model and Experiment of Temperature Effect on Discharge of Lead-Acid Battery for PV Systems in Tropical Area Energy and Power Engineering, 2013, 5, 43-49 http://dx.doi.org/10.4236/epe.2013.51006 Published Online January 2013 (http://www.scirp.org/journal/epe) Matheatical Model and Experient of Teperature Effect

More information

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC SYHRONOUS DRAM 128Mb: x4, x8, x16 MT48LC32M4A2 8 Meg x 4 x 4 banks MT48LC16M8A2 4 Meg x 8 x 4 banks MT48LC8M16A2 2 Meg x 16 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information