CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

Size: px
Start display at page:

Download "CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design"

Transcription

1 CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L20 S.1

2 Review: Basic Building Blocks Datapath Execution units - Adder, multiplier, divider, shifter, etc. Register file and pipeline registers Multiplexers, decoders Control Finite state machines (PLA, ROM, random logic) Interconnect Switches, arbiters, buses Memory Caches (SRAMs), TLBs, DRAMs, buffers Sp11 CMPEN 411 L20 S.2

3 The Binary Multiplication + x Multiplicand Multiplier Partial products Result Sp11 CMPEN 411 L20 S.3

4 Multiply Operation Multiplication is just a a lot of additions N multiplicand multiplier N partial product array can be formed in parallel double precision product 2N Sp11 CMPEN 411 L20 S.4

5 Multiplication Approaches Right shift and add Partial product array rows are accumulated from top to bottom on an N-bit adder - After each addition, right shift (by one bit) the accumulated partial product to align it with the next row to add Time for N bits T serial_mult = O(N T adder ) = O(N 2 ) for a RCA Making it faster Use a faster adder Use higher radix (e.g., base 4) multiplication O(N/2 T adder ) Sp11 CMPEN 411 L20 S.5 - Use multiplier recoding to simplify multiple formation (booth) Form the partial product array in parallel and add it in parallel Making it smaller (i.e., slower) Use serial-parallel mult Use an array multiplier - Very regular structure with only short wires to nearest neighbor cells. Thus, very simple and efficient layout in VLSI Can be easily and efficiently pipelined

6 Serial-parallel multiplier structure Sp11 CMPEN 411 L20 S.6

7 The Array Multiplier X 3 X 2 X 1 X 0 Y 0 X 3 X 2 X 1 X 0 Y 1 Z 0 HA FA FA HA X Y 3 X 2 X 1 X 0 2 Z 1 FA FA FA HA X3 X 2 X 1 X 0 Y 3 Z 2 FA FA FA HA Z 7 Z 6 Z 5 Z 4 Z 3 Sp11 CMPEN 411 L20 S.7

8 The MxN Array Multiplier Critical Path HA FA FA HA FA FA FA HA Critical Path 1 Critical Path 2 FA FA FA HA Critical Path 1 & 2 Sp11 CMPEN 411 L20 S.8

9 Carry-Save Multiplier HA HA HA HA HA FA FA FA HA FA FA FA HA FA FA HA Vector Merging Adder Sp11 CMPEN 411 L20 S.9

10 Multiplier Floorplan X 3 X 2 X 1 X 0 Y 0 Y 1 C S C S C S C S Z 0 HA Multiplier Cell FA Multiplier Cell Y 2 C S C S C S C S Z 1 Vector Merging Cell Y 3 C S C S C S C S Z 2 X and Y signals are broadcasted through the complete array. ( ) C S C S C S C S Z 7 Z 6 Z 5 Z 4 Z 3 Sp11 CMPEN 411 L20 S.10

11 Booth multiplier Encoding scheme to reduce number of stages in multiplication. Performs two bits of multiplication at once requires half the stages. Each stage is slightly more complex than simple multiplier, but adder/subtracter is almost as small/fast as adder. Sp11 CMPEN 411 L20 S.11

12 Booth encoding Two s-complement form of multiplier: y = -2 n y n + 2 n-1 y n n-2 y n (first bit is the sign bit) (example, y=18= y= -18 = ) Rewrite using 2 a = 2 a+1-2 a : y = 2 n (y n-1 -y n ) + 2 n-1 (y n-2 -y n-1 ) + 2 n-2 (y n-3 -y n-2 ) +... Consider first two terms: by looking at three bits of y, we can determine whether to add x, 2x to partial product. Sp11 CMPEN 411 L20 S.12

13 Booth actions y = 2 n (y n-1 -y n ) + 2 n-1 (y n-2 -y n-1 ) + 2 n-2 (y n-3 -y n-2 ) +... Consider first two terms: by looking at three bits of y, we can determine whether to add x, 2x to partial product. y i y i-1 y i-2 increment x x x x x x Sp11 CMPEN 411 L20 S.13

14 Booth example x = 1001 (9 10 ), y = 0111 (7 10 ). P 0 = y 3 y 2 y 1 =011 y 1 y 0 y -1 =11(0) y 1 y 0 y -1 = 110, P 1 = P 0 - (1001) = x shift left for 2 bits to be y 3 y 2 y 1 = 011, P 2 = P 1 + (10*100100) = = (63 10 ) An array multiplier needs N addtions, booth multiplier needs only N/2 additions Sp11 CMPEN 411 L20 S.14

15 Review: A 64-bit Adder/Subtractor Ripple Carry Adder (RCA) built out of 64 FAs add/subt A 0 C 0 =C in 1-bit FA S 0 Subtraction complement all subtrahend bits (xor gates) and set the low order carry-in RCA B 0 B 1 A1 A 2 C 1 1-bit FA S 1 C 2 1-bit FA S 2 advantage: simple logic, so small (low cost) B 2... C 3 disadvantage: slow (O(N) for N bits) and lots of glitching (so lots of energy consumption) B 63 A 63 C 63 1-bit FA S 63 C 64 =C out Sp11 CMPEN 411 L20 S.15

16 Booth structure Sp11 CMPEN 411 L20 S.16

17 Wallace-Tree Multiplier Partial products First stage Bit position (a) (b) Second stage Final adder FA (c) HA (d) Sp11 CMPEN 411 L20 S.17

18 Wallace-Tree Multiplier Partial products x 3 y 3 x 2 y 3 x1 y 3 x 0 y 3 x 2 y 1 x 0 y 2 x 1 y 0 x 0 y 0 x 3 y 2 x 2 y 2 x 3 y 1 x 1 y 2 x 3 y 0 x 1 y 1 x 2 y 0 x 0 y 1 First stage HA HA Second stage FA FA FA FA Final adder z 7 z 6 z 5 z 4 z 3 z 2 z 1 z 0 Full adder = (3,2) compressor Sp11 CMPEN 411 L20 S.18

19 Making it Faster: Tree Multiplier Structure multiple forming circuits 0 D 0 D 0 D 0 D ( icand) Q ( ier) partial product array reduction tree fast carry propagate adder (CPA) P (product) mux + reduction tree (log N) + CPA (log N) interconnect Sp11 CMPEN 411 L20 S.19

20 (4,2) Counter Built out of two (3,2) counters (just FA s!) all of the inputs (4 external plus one internal) have the same weight (i.e., are in the same bit position) the internal carry output is fed to the next higher weight position (indicated by the ) (3,2) (3,2) Note: Two carry outs - one internal and one external Sp11 CMPEN 411 L20 S.20

21 Tiling (4,2) Counters (3,2) (3,2) (3,2) (3,2) (3,2) (3,2) Reduces columns four high to columns only two high Tiles with neighboring (4,2) counters Internal carry in at same level (i.e., bit position weight) as the internal carry out Sp11 CMPEN 411 L20 S.21

22 Tiling (4,2) Counters (3,2) (3,2) (3,2) (3,2) (3,2) (3,2) Reduces columns four high to columns only two high Tiles with neighboring (4,2) counters Internal carry in at same level (i.e., bit position weight) as the internal carry out Sp11 CMPEN 411 L20 S.22

23 4x4 Partial Product Array Reduction Fast 4x4 multiplication using (4,2) counters multiplicand multiplier partial product array reduced pp array (to CPA) double precision product How would you lay it out? Sp11 CMPEN 411 L20 S.23

24 4x4 Partial Product Array Reduction Fast 4x4 multiplication using (4,2) counters multiplicand multiplier How would you lay it out? multiplicand partial product array multip plier reduced pp array (to CPA) double precision product five (4,2) counters 5-bit CPA 8-bit product Sp11 CMPEN 411 L20 S.24

25 8x8 Partial Product Array Reduction Wallace tree multiplier icand ier partial product array two rows of nine (4,2) counters reduced partial product array one row of thirteen (4,2) counters to a 13-bit fast CPA Sp11 CMPEN 411 L20 S.25

26 An 8x8 Multiplier Layout How should it be laid out? multiplicand multiplier nine (4,2) counters nine (4,2) counters thirteen (4,2) counters 13-bit CPA Sp11 CMPEN 411 L20 S.26

27 Why Not Recode? Multiplier recoding (modified Booth s, canonical, ) recode the multiplier to allow base 4 multiplication with simple multiple formation with recoding have the base 4 multiplier digit set of -2, -1, 0, 1, 2 Thus, with recoding the initial partial product array is only N/2 high N But, the first level of (4,2) counters also reduces the partial product array to N/2 high N/2 2N Which is better depends on the logic delay (recoding wins) and interconnect complexity (counters win big) Sp11 CMPEN 411 L20 S.27

28 Hitachi 54X54b Mulitplier A 4.4 ns CMOS 54X54 multiplier using pass-transitor multiplexer Sp11 CMPEN 411 L20 S.28

29 Hitachi Multiplier: Booth encoder and PPG Sp11 CMPEN 411 L20 S.29

30 Hitachi multiplier: 4-2 compressor Sp11 CMPEN 411 L20 S.30

31 What is the state of art? ISSCC 2003 Sp11 CMPEN 411 L20 S.31

32 Multipliers Summary Optimization Goals Different Vs Binary Adder Once Again: Identify Critical Path Other possible techniques - Logarithmic versus Linear (Wallace Tree Mult) - Data encoding (Booth) - Pipelining FIRST GLIMPSE AT SYSTEM LEVEL OPTIMIZATION Sp11 CMPEN 411 L20 S.32

33 Next Lecture and Reminders Next lecture Shifters, decoders, and multiplexers - Reading assignment Rabaey, et al, Sp11 CMPEN 411 L20 S.33

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

Low Power And High Performance 32bit Unsigned Multiplier Using Adders. Hyderabad, A.P , India. Hyderabad, A.P , India.

Low Power And High Performance 32bit Unsigned Multiplier Using Adders. Hyderabad, A.P , India. Hyderabad, A.P , India. ISSN: 2320 879(Impact Factor: 479) Low Power And High Performance 32 Unsigned Multiplier Using Adders SriRamya P, SuhaliAfroz MD 2 PG Scholar, Department of Electronics and Communication Engineering, Teegala

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Introduction to Digital Techniques

Introduction to Digital Techniques to Digital Techniques Dan I. Porat, Ph.D. Stanford Linear Accelerator Center Stanford University, California Arpad Barna, Ph.D. Hewlett-Packard Laboratories Palo Alto, California John Wiley and Sons New

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic MPEN 411 VLSI Digital ircuits Spring 2012 Lecture 06: Static MOS Logic [dapted from Rabaey s Digital Integrated ircuits, Second Edition, 2003 J. Rabaey,. handrakasan,. Nikolic] Sp12 MPEN 411 L06 S.1 Review:

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems Chapter 8 Registers SKEE2263 igital Systems Mun im Zabidi {munim@utm.my} Ismahani Ismail {ismahani@fke.utm.my} Izam Kamisian {e-izam@utm.my} Faculty of Electrical Engineering, Universiti Teknologi Malaysia

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

ASIC Design (7v81) Spring 2000

ASIC Design (7v81) Spring 2000 ASIC Design (7v81) Spring 2000 Lecture 1 (1/21/2000) General information General description We study the hardware structure, synthesis method, de methodology, and design flow from the application to ASIC

More information

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining CMU 18-447 Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining Instructor: Prof. Onur Mutlu TAs: Justin Meza, Yoongu Kim, Jason Lin 1 Adding the REP

More information

Storage and Memory Hierarchy CS165

Storage and Memory Hierarchy CS165 Storage and Memory Hierarchy CS165 What is the memory hierarchy? L1

More information

IN CONVENTIONAL CMOS circuits, the required logic

IN CONVENTIONAL CMOS circuits, the required logic 2194 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 10, OCTOBER 2006 A 16-Bit Barrel-Shifter Implemented in Data-Driven Dynamic Logic (D 3 L) Ramin Rafati, Sied Mehdi Fakhraie,

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Basic Electricity. Mike Koch Lead Mentor Muncie Delaware Robotics Team 1720 PhyXTGears. and Electronics. for FRC

Basic Electricity. Mike Koch Lead Mentor Muncie Delaware Robotics Team 1720 PhyXTGears. and Electronics. for FRC Basic Electricity and Electronics for FRC Mike Koch Lead Mentor Muncie Delaware Robotics Team 1720 PhyXTGears The Quick Tour The Analog World Basic Electricity The Digital World Digital Logic The Rest

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

Design of a Low Power Content Addressable Memory (CAM)

Design of a Low Power Content Addressable Memory (CAM) Design of a Low Power Content Addressable Memory (CAM) Scott Beamer, Mehmet Akgul Department of Electrical Engineering & Computer Science University of California, Berkeley {sbeamer, akgul}@eecs.berkeley.edu

More information

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks SYNCHRONOUS DRAM 128Mb: x32 MT48LC4M32B2-1 Meg x 32 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/sdramds FEATURES PC100 functionality Fully synchronous; all

More information

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Feb 28th, 2002 Our Questions about Tomasulo Questions about Tomasulo s Algorithm Is it optimal (can always produce the wisest instruction execution

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Warped-Compression: Enabling Power Efficient GPUs through Register Compression

Warped-Compression: Enabling Power Efficient GPUs through Register Compression WarpedCompression: Enabling Power Efficient GPUs through Register Compression Sangpil Lee, Keunsoo Kim, Won Woo Ro (Yonsei University*) Gunjae Koo, Hyeran Jeon, Murali Annavaram (USC) (*Work done while

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Ms. Bhumika Narang TCE Department CMR Institute of Technology, Bangalore er.bhumika23@gmail.com Abstract this paper

More information

Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity

Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity Igal Sason 1 and Henry D. Pfister 2 Department of Electrical Engineering 1 Techion Institute, Haifa, Israel Department

More information

Introduction to Computer Engineering EECS 203 dickrp/eecs203/

Introduction to Computer Engineering EECS 203  dickrp/eecs203/ Introduction to Computer Engineering EECS 203 http://ziyang.eecs.northwestern.edu/ dickrp/eecs203/ Instructor: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 TA: Neal

More information

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer.

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer. To read more CS 6354: Tomasulo 21 September 2016 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer Architecture:

More information

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View)

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View) 128 Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory FEATURES Full Military temp (-55 C to 125 C) processing available Configuration: 8 Meg x 16 (2 Meg x 16 x 4 banks) Fully synchronous; all signals registered

More information

CS 6354: Tomasulo. 21 September 2016

CS 6354: Tomasulo. 21 September 2016 1 CS 6354: Tomasulo 21 September 2016 To read more 1 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer

More information

Contents. Preface... xiii Introduction... xv. Chapter 1: The Systems Approach to Control and Instrumentation... 1

Contents. Preface... xiii Introduction... xv. Chapter 1: The Systems Approach to Control and Instrumentation... 1 Contents Preface... xiii Introduction... xv Chapter 1: The Systems Approach to Control and Instrumentation... 1 Chapter Overview...1 Concept of a System...2 Block Diagram Representation of a System...3

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

FPGA-based New Hybrid Adder Design with the Optimal Bit-Width Configuration

FPGA-based New Hybrid Adder Design with the Optimal Bit-Width Configuration FPGA-based New Hybrid Adder Design with the Optimal Bit-Width Configuration Mahmoud A. M. Alshewimy Computer Engineering Dept. Istanbul University, Turkey Ahmet Sertbas Computer Engineering Dept. Istanbul

More information

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ CONFIGURATION. None SPEED GRADE

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ CONFIGURATION. None SPEED GRADE SYNCHRONOUS DRAM 52Mb: x4, x8, x6 MT48LC28M4A2 32 MEG x 4 x 4 S MT48LC64M8A2 6 MEG x 8 x 4 S MT48LC32M6A2 8 MEG x 6 x 4 S For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC SYNCHRONOUS DRAM 64Mb: x4, x8, x16 MT48LC16M4A2 4 Meg x 4 x 4 banks MT48LC8M8A2 2 Meg x 8 x 4 banks MT48LC4M16A2 1 Meg x 16 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/mti/msp/html/datasheet.html

More information

CS250 VLSI Systems Design

CS250 VLSI Systems Design CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16 What

More information

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ SYHRONOUS DRAM Features PC66, PC100, and PC133compliant Fully synchronous; all signals registered on positive edge of system clock Internal pipelined operation; column address can be changed every clock

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ

- DQ0 - NC DQ1 - NC - NC DQ0 - NC DQ2 DQ1 DQ SYNCHRONOUS DRAM ADVANCE MT48LC28M4A2 32 Meg x 4 x 4 banks MT48LC64M8A2 6 Meg x 8 x 4 banks MT48LC32M6A2 8 Meg x 6 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC

- - DQ0 NC DQ1 DQ0 DQ2 - NC DQ1 DQ3 NC - NC SYHRONOUS DRAM 128Mb: x4, x8, x16 MT48LC32M4A2 8 Meg x 4 x 4 banks MT48LC16M8A2 4 Meg x 8 x 4 banks MT48LC8M16A2 2 Meg x 16 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/dramds

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Introduction to Computer Engineering EECS 203 dickrp/eecs203/

Introduction to Computer Engineering EECS 203  dickrp/eecs203/ Introduction to Computer Engineering EECS 203 http://ziyang.eecs.northwestern.edu/ dickrp/eecs203/ Instructor: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 TA: Neal

More information

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士 Computer Architecture 计算机体系结构 Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I Chao Li, PhD. 李超博士 SJTU-SE346, Spring 2018 Review ISA, micro-architecture, physical design Evolution of ISA CISC vs

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

IS42S32200L IS45S32200L

IS42S32200L IS45S32200L IS42S32200L IS45S32200L 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM OCTOBER 2012 FEATURES Clock frequency: 200, 166, 143, 133 MHz Fully synchronous; all signals referenced to a positive

More information

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM 256-MBit Double Data Rata SDRAM Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR266A -7 DDR200-8 2 133 100 2.5 143 125 Double data rate architecture: two data transfers

More information

HYB25D256[400/800/160]B[T/C](L) 256-Mbit Double Data Rate SDRAM, Die Rev. B Data Sheet Jan. 2003, V1.1. Features. Description

HYB25D256[400/800/160]B[T/C](L) 256-Mbit Double Data Rate SDRAM, Die Rev. B Data Sheet Jan. 2003, V1.1. Features. Description Data Sheet Jan. 2003, V1.1 Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR200-8 DDR266A -7 DDR266-7F DDR333-6 2 100 133 133 133 2.5 125 143 143 166 Double data rate

More information

Fully Integrated SC DC-DC: Bulk CMOS Oriented Design

Fully Integrated SC DC-DC: Bulk CMOS Oriented Design Fully Integrated SC DC-DC: Bulk CMOS Oriented Design Hans Meyvaert Prof. Michiel Steyaert 17 Nov 2012 Outline Towards monolithic integration CMOS as technology vehicle Techniques for CMOS DC-DC Conclusions

More information

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM JANUARY 2007 FEATURES Clock frequency: 183, 166, 143 MHz Fully synchronous; all signals referenced to a positive clock edge Internal bank

More information

AVS64( )L

AVS64( )L AVS640416.1604.0808L 64 Mb Synchronous DRAM 16 Mb x 4 0416 8 Mb x 8 0808 4 Mb x 161604 Features PC100/PC133/PC143/PC166compliant Fully synchronous; all signals registered on positive edge of system clock

More information

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Power distribution techniques for dual-vdd circuits Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Outline Motivation for multiple supply design Implications of using multiple

More information

Improving Performance: Pipelining!

Improving Performance: Pipelining! Iproving Perforance: Pipelining! Meory General registers Meory ID EXE MEM WB Instruction Fetch (includes PC increent) ID Instruction Decode + fetching values fro general purpose registers EXE EXEcute arithetic/logic

More information

Algebraic Integer Encoding and Applications in Discrete Cosine Transform

Algebraic Integer Encoding and Applications in Discrete Cosine Transform RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Algebraic Integer Encoding and Applications in Discrete Cosine Transform Minyi Fu Supervisors: Dr. G. A. Jullien Dr. M. Ahmadi Department

More information

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER Australasian Universities Power Engineering Conference (AUPEC 2004) 26-29 September 2004, Brisbane, Australia DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER M.F.M. Elias*, A.K. Arof**, K.M. Nor* *Department

More information

Lecture PowerPoints. Chapter 21 Physics: Principles with Applications, 7th edition, Global Edition Giancoli

Lecture PowerPoints. Chapter 21 Physics: Principles with Applications, 7th edition, Global Edition Giancoli Lecture PowerPoints Chapter 21 Physics: Principles with Applications, 7th edition, Global Edition Giancoli This work is provided solely for the use of instructors in teaching their courses and assessing

More information

Standard Logic ICs. Selection guide. August

Standard Logic ICs. Selection guide. August Standard Logic ICs Selection guide August 2007 www.st.com/logic Family selector Family Electrical characteristics Features Packages V DD or V CC [V] V I [V] I O (typ) [ma] t PD (typ) [ns] Direct TTL interface

More information

Circuit breaker wear monitoring function block description for railway application

Circuit breaker wear monitoring function block description for railway application Circuit breaker wear monitoring function block description for railway application Document ID: PP-13-21313 Budapest, September 2016 CONTENTS Circuit breaker wear monitoring function...3 Technical data...5

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

DQ0 NC DQ1 DQ0 DQ2 DQ3 DQ Speed Grade

DQ0 NC DQ1 DQ0 DQ2 DQ3 DQ Speed Grade Features SDRAM MT48LC32M4A2 8 Meg x 4 x 4 banks MT48LC16M8A2 4 Meg x 8 x 4 banks MT48LC8M16A2 2 Meg x 16 x 4 banks For the latest data sheet, refer to Micron s Web site: www.micron.com Features PC100 and

More information

Pump Control Ball Valve for Energy Savings

Pump Control Ball Valve for Energy Savings VM PCBVES/WP White Paper Pump Control Ball Valve for Energy Savings Table of Contents Introduction............................... Pump Control Valves........................ Headloss..................................

More information

Fast In-place Transposition. I-Jui Sung, University of Illinois Juan Gómez-Luna, University of Córdoba (Spain) Wen-Mei Hwu, University of Illinois

Fast In-place Transposition. I-Jui Sung, University of Illinois Juan Gómez-Luna, University of Córdoba (Spain) Wen-Mei Hwu, University of Illinois Fast In-place Transposition I-Jui Sung, University of Illinois Juan Gómez-Luna, University of Córdoba (Spain) Wen-Mei Hwu, University of Illinois Full Transposition } Full transposition is desired for

More information

Jet Dispensing Underfills for Stacked Die Applications

Jet Dispensing Underfills for Stacked Die Applications Jet Dispensing Underfills for Stacked Die Applications Steven J. Adamson Semiconductor Packaging and Assembly Product Manager Asymtek Sadamson@asymtek.com Abstract It is not uncommon to see three to five

More information

Slippage Detection and Traction Control System

Slippage Detection and Traction Control System Slippage Detection and Traction Control System May 10, 2004 Sponsors Dr. Edwin Odom U of I Mechanical Engineering Department Advisors Dr. Jim Frenzel Dr. Richard Wall Team Members Nick Carter Kellee Korpi

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design ENGN64: Design of Computing Systems Topic 5: Pipeline Processor Design Professor Sherief Reda http://scale.engin.brown.edu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

A48P4616B. 16M X 16 Bit DDR DRAM. Document Title 16M X 16 Bit DDR DRAM. Revision History. AMIC Technology, Corp. Rev. No. History Issue Date Remark

A48P4616B. 16M X 16 Bit DDR DRAM. Document Title 16M X 16 Bit DDR DRAM. Revision History. AMIC Technology, Corp. Rev. No. History Issue Date Remark 16M X 16 Bit DDR DRAM Document Title 16M X 16 Bit DDR DRAM Revision History Rev. No. History Issue Date Remark 1.0 Initial issue January 9, 2014 Final (January, 2014, Version 1.0) AMIC Technology, Corp.

More information

Survey Report Informatica PowerCenter Express. Right-Sized Data Integration for the Smaller Project

Survey Report Informatica PowerCenter Express. Right-Sized Data Integration for the Smaller Project Survey Report Informatica PowerCenter Express Right-Sized Data Integration for the Smaller Project 1 Introduction The business department, smaller organization, and independent developer have been severely

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD R 0 XC95288 In-System Programmable CPLD 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up to 166 user pins 5V in-system

More information

UNIVERSITY OF CALIFORNIA, IRVINE THESIS MASTER OF SCIENCE

UNIVERSITY OF CALIFORNIA, IRVINE THESIS MASTER OF SCIENCE UNIVERSITY OF CALIFORNIA, IRVINE Several DVB-T Cores Mapping into MorphoSys Architecture THESIS submitted in partial satisfaction of the requirements for the degree of MASTER OF SCIENCE in Electrical and

More information

FULLY SYNCHRONOUS DESIGN By Serge Mathieu

FULLY SYNCHRONOUS DESIGN By Serge Mathieu 1- INTRODUCTION. By the end of my 30 years carreer in electronic design, I designed a few complex ASICS, like this high performance Powerline transceiver ASIC. See : http://www.arianecontrols.com/documents/ac-plm-1_user_manual.pdf

More information

SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data

SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data Scott McMorrow, Director of Engineering Page 1 SFM/TFM Power Integrity Guidelines Modeled Section SFM Board TFM

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

BEVEL GEAR JACKS D and 3D models available on website Ordering information on page 150

BEVEL GEAR JACKS D and 3D models available on website Ordering information on page 150 BEVEL GEAR JACKS Joyce offers Bevel Gear Jacks in several designs including: Translating Keyed for non-rotation Keyed for (KFTN) A guide for ordering is on page 150. 800-523-5204 sales@ 149 BEVEL GEAR

More information

54ACxxxx, 54ACTxxxx. Rad-hard advanced high-speed 5 V CMOS logic series. Features. Description

54ACxxxx, 54ACTxxxx. Rad-hard advanced high-speed 5 V CMOS logic series. Features. Description Rad-hard advanced high-speed 5 V CMOS logic series Features Data brief Flat-14 Flat-16 Flat-20 DIL-14 DIL-16 DIL-20 AC: 2 to 6 V operating voltage ACT: 4.5 to 5.5 V operating voltage High speed T PD =

More information

Discrete Control Logic. 1. Pneumatic circuits. - Low forces - Discrete, fixed travel distances - Rotational or reciprocating motion

Discrete Control Logic. 1. Pneumatic circuits. - Low forces - Discrete, fixed travel distances - Rotational or reciprocating motion Discrete Control Logic 1. Pneumatic circuits - Low forces - Discrete, fixed travel distances - Rotational or reciprocating motion Main components: compressor, valves, cylinders Pneumatic components: cylinders

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. An Insight into Active Balancing for Lithium-Ion Batteries

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. An Insight into Active Balancing for Lithium-Ion Batteries European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany An Insight into Active Balancing for Lithium-Ion Batteries Federico

More information

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE On Industrial Automation and Control By Prof. S. Mukhopadhyay Department of Electrical Engineering IIT Kharagpur Topic Lecture

More information

2-marks question bank UNIT I - TRANSFORMERS UNIT II: AC MACHINES

2-marks question bank UNIT I - TRANSFORMERS UNIT II: AC MACHINES 2-marks question bank UNIT I - TRANSFORMERS 1. What is all day efficiency? 2. What are the applications of auto transformers? 3. Why transformer rating is expressed in KVA? 4. Does transformer draw any

More information

EECS 461 Final Project: Adaptive Cruise Control

EECS 461 Final Project: Adaptive Cruise Control EECS 461 Final Project: Adaptive Cruise Control 1 Overview Many automobiles manufactured today include a cruise control feature that commands the car to travel at a desired speed set by the driver. In

More information

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Scott McMorrow, Director of Engineering Page 1 Modeled Section MPS Board MPT Board Power Via Power Via Power

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

t WR = 2 CLK A2 Notes:

t WR = 2 CLK A2 Notes: SDR SDRAM MT48LC16M4A2 4 Meg x 4 x 4 Banks MT48LC8M8A2 2 Meg x 8 x 4 Banks MT48LC4M16A2 1 Meg x 16 x 4 Banks 64Mb: x4, x8, x16 SDRAM Features Features PC100- and PC133-compliant Fully synchronous; all

More information

NOT gate (P = NOT A) AND gate (P = A AND B) Create this circuit. Create this circuit. Copy this truth table. Copy this truth table

NOT gate (P = NOT A) AND gate (P = A AND B) Create this circuit. Create this circuit. Copy this truth table. Copy this truth table NOT gate (P = NOT A) 2 AND gate (P = A AND B) 3 Create this circuit Create this circuit Input Output Describe how this gate works Describe how this gate works OR gate P = A OR B 3 XOR gate P = A XOR B

More information

SP4 DOCUMENTATION. 1. SP4 Reference manual SP4 console.

SP4 DOCUMENTATION. 1. SP4 Reference manual SP4 console. SP4 DOCUMENTATION 1. SP4 Reference manual.... 1 1.1. SP4 console... 1 1.2 Configuration... 3 1.3 SP4 I/O module.... 6 2. Dynamometer Installation... 7 2.1. Installation parts.... 8 2.2. Connectors and

More information

Statistical Learning Examples

Statistical Learning Examples Statistical Learning Examples Genevera I. Allen Statistics 640: Statistical Learning August 26, 2013 (Stat 640) Lecture 1 August 26, 2013 1 / 19 Example: Microarrays arrays High-dimensional: Goals: Measures

More information

BAC and Fatal Crash Risk

BAC and Fatal Crash Risk BAC and Fatal Crash Risk David F. Preusser PRG, Inc. 7100 Main Street Trumbull, Connecticut Keywords Alcohol, risk, crash Abstract Induced exposure, a technique whereby not-at-fault driver crash involvements

More information

XC95144 In-System Programmable CPLD. Features. Description. Power Management. December 4, 1998 (Version 4.0) 1 1* Product Specification

XC95144 In-System Programmable CPLD. Features. Description. Power Management. December 4, 1998 (Version 4.0) 1 1* Product Specification 查询 XC95144 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 1 XC95144 In-System Programmable CPLD December 4, 1998 (Version 4.0) 1 1* Product Specification Features 7.5 ns pin-to-pin logic delays on all pins f CNT to 111

More information

MANTECH ELECTRONICS. Stepper Motors. Basics on Stepper Motors I. STEPPER MOTOR SYSTEMS OVERVIEW 2. STEPPING MOTORS

MANTECH ELECTRONICS. Stepper Motors. Basics on Stepper Motors I. STEPPER MOTOR SYSTEMS OVERVIEW 2. STEPPING MOTORS MANTECH ELECTRONICS Stepper Motors Basics on Stepper Motors I. STEPPER MOTOR SYSTEMS OVERVIEW 2. STEPPING MOTORS TYPES OF STEPPING MOTORS 1. VARIABLE RELUCTANCE 2. PERMANENT MAGNET 3. HYBRID MOTOR WINDINGS

More information

DOUBLE DATA RATE (DDR) SDRAM

DOUBLE DATA RATE (DDR) SDRAM UBLE DATA RATE Features VDD = +2.5V ±.2V, VD = +2.5V ±.2V Bidirectional data strobe transmitted/ received with data, i.e., source-synchronous data capture x6 has two one per byte Internal, pipelined double-data-rate

More information