Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Size: px
Start display at page:

Download "Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge"

Transcription

1 Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge 1

2 Motivation! Ever increasing leakage power " as feature size shrinks ! V t scales down " exponential increase in leakage power Normalized leakage power º C 75 ºC 50 ºC 25 ºC! On-chip caches " responsible for 15%~20% of the total power " leakage power can exceed 50% of total cache power Minimum gate length (µm) according to our projection using Berkeley Predictive Models

3 Processor power trends Power Consumption (W) Leakage Power Dynamic Power Pentium II Pentium III Pentium 4 One Gen Two Gen Three Gen Processor Generation Based on ITRS roadmap and transistor count estimates. Total power in this projection cannot come true. 3

4 An observation about data caches! L1 data caches Working set: fraction of cache lines accessed in a time window. Window size = 2000 cycles. Only a small fraction of lines are accessed in a window. 50% 40% Working set of current + 1, 8, and 32 previous windows 30% Working set of current window 20% 10% 0% crafty vortex bzip vpr mcf parser gcc facerec equake mesa 4

5 The Drowsy Cache approach Instead of being sophisticated about predicting the working set, reduce the penalty for being wrong. Algorithm: Periodically put all lines in cache into drowsy mode. When accessed, wake up the line. Optimize across circuit-microarchitecture boundary: Use of the appropriate circuit technique enables simplified microarchitectural control. Requirement: state preservation in low leakage mode. 5

6 Access control flow Awake tags Awake tags Hit Awake tag match Line wake up Line access Miss Awake tag miss Line wake up Replacement Memory Drowsy hit / miss adds at most 1 cycle latency Access to awake line is not penalized 6

7 Access control flow Drowsy tags Drowsy tags Hit Awake tag match Tag wake up Line wake up Line access Miss Awake tag miss Tag wake up Line wake up Replacement Memory Unneeded tags and lines back to drowsy Drowsy tags implementation is more complicated Is the complexity worth it? Tags use about 7% of data bits (32 bit address) Only small incremental leakage reduction Worst case: 3 cycle extra latency 7

8 Low-leakage circuit techniques Circuit Pros Cons Gated-V DD Largest leakage reduction Fast mode switching Easy implementation Loses cell state ABB-MTCMOS Retains cell state Slow mode switching DVS Retains cell state Fase mode switching More power reduction than ABB More SEU noise susceptible 8

9 Drowsy memory using DVS Low supply voltage for inactive memory cells Low voltage reduces leakage current too! Quadratic reduction in leakage power P = I I V supply voltage for normal mode leakage path supply voltage for drowsy mode 9

10 Leakage reduction using DVS High-V t devices for access transistors! reduce leakage power! increase access time of cache 100% 0.2V! Right Trade-off point Performance 95% 90% 0.25V 0.3V " 91% leakage reduction " 6% cycle time increase 0.35V 85% Projections for 0.07µm process 76% 78% 80% 82% 84% 86% 88% 90% 92% 94% Leakage reduction 10

11 Drowsy cache line architecture drowsy bit voltage controller drowsy (set) drowsy power line row decoder word line driver VDD (1V) VDDLow (0.3V) drowsy SRAMs word line wake up (reset) drowsy signal word line word line gate 11

12 Energy reduction 100% 80% 60% Leakage 40% 20% Dynamic Drow sy Drowsy High leakage Dynamic 0% Regular Cache Drowsy Cache Projections for 0.07µm process High leakage: lines have to be powered up when accessed. Drowsy circuit Without high v t device (in SRAM): 6x leakage reduction, no access delay. With high v t device: 10x leakage reduction, 6% access time increase. 12

13 1 cycle vs. 2 cycle wake up 100% 95% 90% Drowsy fraction 85% 80% 75% 1 cycle vs. 2 cycle wakup simple policy, awake tags, 4000 cycle window ammp00 apsi00 bzip200 eon00 facerec00 galgel00 gcc00 lucas00 mesa00 parser00 swim00 vortex00 wupwise00 applu00 art00 crafty00 equake00 fma3d00 gap00 gzip00 mcf00 mgrid00 sixtrack00 twolf00 vpr00 70% 0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20% 1.40% 1.60% 1.80% 2.00% 2.20% Run-time increase Fast wakeup is important but easy to accomplish! Cache access time: 0.57ns (for 0.07µm from CACTI using 0.18µm baseline). Speed dependent on voltage controller size: 64 x L eff 0.28ns (half cycle at 4 GHz), 32 x L eff 0.42ns, 16 x L eff 0.77ns. Impact of drowsy tags are quite similar to double-cycle wake up. 13

14 Policy comparison 100% lucas 95% facerec gcc twolf gzip parser simple 2000 Drowsy fraction 90% 85% 80% 75% vortex gap sixtrack eon crafty galgel applu mgrid art 70% 0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20% 1.40% Run-time increase simple 4000 noaccess vs. simple policy noaccess cycle wakeup, awake tags, simple policy: 2000 and 4000 cycle window, noaccess policy: 2000 cycle window ammp00 apsi00 bzip200 eon00 facerec00 galgel00 gcc00 lucas00 mesa00 parser00 swim00 vortex00 wupwise00 applu00 art00 crafty00 equake00 fma3d00 gap00 gzip00 mcf00 mgrid00 sixtrack00 twolf00 vpr00 14

15 Energy reduction Normalized Total Energy DVS Theoretical min. Normalized Leakage Energy DVS Theoretical min. Run-time increase Awake tags % Drowsy tags % > 50% total energy reduction > 70% leakage energy reduction Theoretical minimum assumes zero leakage in drowsy mode Total energy reduction within 0.1 of theoretical minimum Diminishing returns for better leakage reduction techniques Above figures assume 6x leakage reduction, 10x possible with small additional run-time impact 15

16 Conclusions Simple circuit technique Need high-v t transistors, low V dd supply Simple architecture No need to keep counter/predictor state for each line Periodic global counter asserts drowsy signal Window size (for periodic drowsy transition) depends on core: ~4000 cycles has good E-delay trade-off Technique also works well on in-order procesors Memory subsystem is already latency tolerant Drowsy circuit is good enough Diminishing returns on further leakage reduction Focus is again on dynamic energy 16

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

Design of a Low Power Content Addressable Memory (CAM)

Design of a Low Power Content Addressable Memory (CAM) Design of a Low Power Content Addressable Memory (CAM) Scott Beamer, Mehmet Akgul Department of Electrical Engineering & Computer Science University of California, Berkeley {sbeamer, akgul}@eecs.berkeley.edu

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Leakage Aware Design for Next Generation's SOCs

Leakage Aware Design for Next Generation's SOCs Roberto Zafalon Director, EU R&D Projects Leakage Aware Design for Next Generation's SOCs Roberto Zafalon European R&D Projects Date 09 workshop, April 24 th 2009 Designing for Embedded Parallel Computing

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Helping Moore s Law: Architectural Techniques to Address Parameter Variation

Helping Moore s Law: Architectural Techniques to Address Parameter Variation Helping Moore s Law: Architectural Techniques to Address Parameter Variation Computer Science Department University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/~teodores Technology scaling

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

Slippage Detection and Traction Control System

Slippage Detection and Traction Control System Slippage Detection and Traction Control System May 10, 2004 Sponsors Dr. Edwin Odom U of I Mechanical Engineering Department Advisors Dr. Jim Frenzel Dr. Richard Wall Team Members Nick Carter Kellee Korpi

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK BO SU JUNLI GU LI SHEN WEI HUANG JOSEPH L. GREATHOUSE ZHIYING WANG NUDT AMD RESEARCH DECEMBER 17, 2014 BACKGROUND Dynamic Voltage and Frequency

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures Michael A. Laurenzano, Yunqi Zhang, Jiang Chen, Lingjia Tang and Jason Mars Department of Electrical Engineering

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Ms. Bhumika Narang TCE Department CMR Institute of Technology, Bangalore er.bhumika23@gmail.com Abstract this paper

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

CS250 VLSI Systems Design

CS250 VLSI Systems Design CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16 What

More information

HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS

HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS 2013 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM POWER AND MOBILITY (P&M) MINI-SYMPOSIUM AUGUST 21-22, 2013 TROY, MICHIGAN HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS

More information

Storage and Memory Hierarchy CS165

Storage and Memory Hierarchy CS165 Storage and Memory Hierarchy CS165 What is the memory hierarchy? L1

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

Warped-Compression: Enabling Power Efficient GPUs through Register Compression

Warped-Compression: Enabling Power Efficient GPUs through Register Compression WarpedCompression: Enabling Power Efficient GPUs through Register Compression Sangpil Lee, Keunsoo Kim, Won Woo Ro (Yonsei University*) Gunjae Koo, Hyeran Jeon, Murali Annavaram (USC) (*Work done while

More information

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) 1 T H E A C M I E E E I N T E R N A T I O N A L S Y M P O S I U M O N C O M P U T E R A R C H I T E C T U R E ( I S C A

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

SIMULATION AND EVALUATION OF ENGINE FRICTION EUROPEAN GT CONFERENCE, FRANKFURT/MAIN, OCTOBER 9TH, 2017

SIMULATION AND EVALUATION OF ENGINE FRICTION EUROPEAN GT CONFERENCE, FRANKFURT/MAIN, OCTOBER 9TH, 2017 SIMULATION AND EVALUATION OF ENGINE FRICTION EUROPEAN GT CONFERENCE, FRANKFURT/MAIN, OCTOBER 9TH, 2017 Prof. Dr.-Ing. Peter Steinberg, BTU Cottbus M.Sc. Oleg Krecker, PhD candidate, BMW AGENDA 1 2 3 4

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

128Mb DDR SDRAM. Features. Description. REV 1.1 Oct, 2006

128Mb DDR SDRAM. Features. Description. REV 1.1 Oct, 2006 Features Double data rate architecture: two data transfers per clock cycle Bidirectional data strobe () is transmitted and received with data, to be used in capturing data at the receiver is edge-aligned

More information

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM 256-MBit Double Data Rata SDRAM Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR266A -7 DDR200-8 2 133 100 2.5 143 125 Double data rate architecture: two data transfers

More information

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks SYNCHRONOUS DRAM 128Mb: x32 MT48LC4M32B2-1 Meg x 32 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/sdramds FEATURES PC100 functionality Fully synchronous; all

More information

Multi Core Processing in VisionLab

Multi Core Processing in VisionLab Multi Core Processing in Multi Core CPU Processing in 25 August 2014 Copyright 2001 2014 by Van de Loosdrecht Machine Vision BV All rights reserved jaap@vdlmv.nl Overview Introduction Demonstration Automatic

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

Algebraic Integer Encoding and Applications in Discrete Cosine Transform

Algebraic Integer Encoding and Applications in Discrete Cosine Transform RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Algebraic Integer Encoding and Applications in Discrete Cosine Transform Minyi Fu Supervisors: Dr. G. A. Jullien Dr. M. Ahmadi Department

More information

Functional Decomposition of a Medium Voltage DC Integrated Power System

Functional Decomposition of a Medium Voltage DC Integrated Power System Functional Decomposition of a Medium Voltage DC Integrated Power System ASNE SYMPOSIUM 2008 SHIPBUILDING IN SUPPORT OF THE GLOBAL WAR ON TERRORISM April 14-17, 2008 Mississippi Coast Coliseum Convention

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Power distribution techniques for dual-vdd circuits Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Outline Motivation for multiple supply design Implications of using multiple

More information

A48P4616B. 16M X 16 Bit DDR DRAM. Document Title 16M X 16 Bit DDR DRAM. Revision History. AMIC Technology, Corp. Rev. No. History Issue Date Remark

A48P4616B. 16M X 16 Bit DDR DRAM. Document Title 16M X 16 Bit DDR DRAM. Revision History. AMIC Technology, Corp. Rev. No. History Issue Date Remark 16M X 16 Bit DDR DRAM Document Title 16M X 16 Bit DDR DRAM Revision History Rev. No. History Issue Date Remark 1.0 Initial issue January 9, 2014 Final (January, 2014, Version 1.0) AMIC Technology, Corp.

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

HYB25D256[400/800/160]B[T/C](L) 256-Mbit Double Data Rate SDRAM, Die Rev. B Data Sheet Jan. 2003, V1.1. Features. Description

HYB25D256[400/800/160]B[T/C](L) 256-Mbit Double Data Rate SDRAM, Die Rev. B Data Sheet Jan. 2003, V1.1. Features. Description Data Sheet Jan. 2003, V1.1 Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR200-8 DDR266A -7 DDR266-7F DDR333-6 2 100 133 133 133 2.5 125 143 143 166 Double data rate

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

Environmental Effects on Zip Line Rider Speed

Environmental Effects on Zip Line Rider Speed 1623 N First Street, Ste 1 Flagstaff, Arizona 864 Office: (928) 26-6174 Environmental Effects on Zip Line Rider Speed Every operator knows that when a zip line rider steps off the top platform onto a zip

More information

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped CS61C L31 Caches II (1) inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 31 Caches II 26-11-13 Lecturer SOE Dan Garcia www.cs.berkeley.edu/~ddgarcia GPUs >> CPUs? Many are using

More information

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Gernot Hehn Today s personal vehicles have an electrical system operating from

More information

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications 8Mbit to 256MBit HyperMemory SRAM and FIFO Features Super high-speed Static-Memory Can be configured as a standalone FIFO Supports multiple IO Standards (HSTL, SSTL, LVCMOS/ LVTTL) Access time as low as

More information

VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION EFFICIENCY

VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION EFFICIENCY 2018 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM POWER & MOBILITY (P&M) TECHNICAL SESSION AUGUST 7-9, 2018 NOVI, MICHIGAN VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

Practical Resource Management in Power-Constrained, High Performance Computing

Practical Resource Management in Power-Constrained, High Performance Computing Practical Resource Management in Power-Constrained, High Performance Computing Tapasya Patki*, David Lowenthal, Anjana Sasidharan, Matthias Maiterth, Barry Rountree, Martin Schulz, Bronis R. de Supinski

More information

Self-Adjusting Hall Effect Gear Tooth Sensor IC CYGTS9802 with Complementary Output

Self-Adjusting Hall Effect Gear Tooth Sensor IC CYGTS9802 with Complementary Output Self-Adjusting Hall Effect Gear Tooth Sensor IC CYGTS9802 with Complementary Output The CYGTS9802 is a sophisticated IC featuring an on-chip 12-bit A/D Converter and logic that acts as a digital sample

More information

Reluctance Motors Synchrel Design & Optimisation

Reluctance Motors Synchrel Design & Optimisation Reluctance Motors Synchrel Design & Optimisation A Switched Reluctance Alternative Incorporating Novel Features The End Result 1 Existing Design Procedure Electromagnetic Design A Switched Reluctance solution

More information

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Vijayalayan R, Senior Team Lead, Control Design Application Engineering, MathWorks India Pvt Ltd

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

Fast Orbit Feedback (FOFB) at Diamond

Fast Orbit Feedback (FOFB) at Diamond Fast Orbit Feedback (FOFB) at Diamond Guenther Rehm, Head of Diagnostics Group 29/06/2007 FOFB at Diamond 1 Ground, Girder and Beam Motion 29/06/2007 FOFB at Diamond 2 Fast Feedback Design Philosophy Low

More information

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures Lecturer SOE Dan Garcia inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 31 Caches II 2008-04-12 HP has begun testing research prototypes of a novel non-volatile memory element, the

More information

Sinfonia: a new paradigm for building scalable distributed systems

Sinfonia: a new paradigm for building scalable distributed systems CS848 Paper Presentation Sinfonia: a new paradigm for building scalable distributed systems Aguilera, Merchant, Shah, Veitch, Karamanolis SOSP 2007 Presented by Somayyeh Zangooei David R. Cheriton School

More information

Emissions predictions for Diesel engines based on chemistry tabulation

Emissions predictions for Diesel engines based on chemistry tabulation Emissions predictions for Diesel engines based on chemistry tabulation C. Meijer, F.A. Tap AVL Dacolt BV (The Netherlands) M. Tvrdojevic, P. Priesching AVL List GmbH (Austria) 1. Introduction It is generally

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

Self-Adjusting Two-Wire Hall Effect Gear Tooth Sensor IC CYGTS9804

Self-Adjusting Two-Wire Hall Effect Gear Tooth Sensor IC CYGTS9804 Self-Adjusting Two-Wire Hall Effect Gear Tooth Sensor IC CYGTS9804 The CYGTS9804 is a sophisticated IC featuring an on-chip 12-bit A/D Converter and logic that acts as a digital sample and hold circuit.

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

Electro-Proportional Terms and Definitions

Electro-Proportional Terms and Definitions Electro-Proportional Terms and Definitions Valve Deadband The span of operation where there is no flow or pressure output for some specified range of command Hydraulic Valve Gain The characteristic relating

More information

Is Power State Table(PST) Golden?

Is Power State Table(PST) Golden? February 28 March 1, 2012 Is Power State Table(PST) Golden? By Ankush Bagotra, Neha Bajaj, Harsha Vardhan R&D Engineer, CAE, CAE Synopsys Inc. Overview Low Power Design Today Unified Power Format (UPF)

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

MORSE: MOdel-based Real-time Systems Engineering. Reducing physical testing in the calibration of diagnostic and driveabilty features

MORSE: MOdel-based Real-time Systems Engineering. Reducing physical testing in the calibration of diagnostic and driveabilty features MORSE: MOdel-based Real-time Systems Engineering Reducing physical testing in the calibration of diagnostic and driveabilty features Mike Dempsey Claytex Future Powertrain Conference 2017 MORSE project

More information

Non-volatile STT-RAM: A True Universal Memory

Non-volatile STT-RAM: A True Universal Memory Non-volatile STT-RAM: A True Universal Memory Farhad Tabrizi Grandis Inc., Milpitas, California August 13 th, 2009 Santa Clara, CA, USA, August 2009 1 Outline Grandis Corporation Overview Current Flash

More information

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration National Aeronautics and Space Administration NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration Anne M. McNelis NASA Glenn Research Center Presentation

More information

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni Energy Source Lifetime Optimization for a Digital System through Power Management by Manish Kulkarni A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

PCT200 Powercast High-Function RFID Sensor Datalogger

PCT200 Powercast High-Function RFID Sensor Datalogger DESCRIPTION The PCT200 SuperTag is a high-functioning, datalogging RFID tag capable of measuring temperature, humidity, and light level with high accuracy. It contains a wirelessly rechargeable battery

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View)

SDRAM AS4SD8M Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory. PIN ASSIGNMENT (Top View) 128 Mb: 8 Meg x 16 SDRAM Synchronous DRAM Memory FEATURES Full Military temp (-55 C to 125 C) processing available Configuration: 8 Meg x 16 (2 Meg x 16 x 4 banks) Fully synchronous; all signals registered

More information

FULLY SYNCHRONOUS DESIGN By Serge Mathieu

FULLY SYNCHRONOUS DESIGN By Serge Mathieu 1- INTRODUCTION. By the end of my 30 years carreer in electronic design, I designed a few complex ASICS, like this high performance Powerline transceiver ASIC. See : http://www.arianecontrols.com/documents/ac-plm-1_user_manual.pdf

More information

CHAPTER 6 CONCLUSION

CHAPTER 6 CONCLUSION 108 CHAPTER 6 CONCLUSION This work investigates the energy conservation through efficiency improvement in an induction motor by Die-cast Copper Rotor (DCR) Technology. The possibility of the efficiency

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Solution-processed carbon nanotube thin-film complementary static random access memory

Solution-processed carbon nanotube thin-film complementary static random access memory Solution-processed carbon nanotube thin-film complementary static random access memory Michael L. Geier, Julian J. McMorrow, Weichao Xu, Jian Zhu, Chris H. Kim, Tobin J. Marks, and Mark C. Hersam * *Corresponding

More information

Incremental Joint Extraction of Entity Mentions and Relations

Incremental Joint Extraction of Entity Mentions and Relations Incremental Joint Extraction of Entity Mentions and Relations Qi Li and Heng Ji {liq7,jih}@rpi.edu Rensselaer Polytechnic Institute End to End Relation Extraction Baltimore is the largest city in the U.S.

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

Application Notes. -DM01 Linear Shape Memory Alloy Actuator with Basic Stamp Microcontroller Kit

Application Notes. -DM01 Linear Shape Memory Alloy Actuator with Basic Stamp Microcontroller Kit Application Notes -DM01 Linear Shape Memory Alloy Actuator with Basic Stamp Microcontroller Kit MIGA Motor Company Strawberry Creek Design Center 1250 Addison St., Studio 208 Ph: (510) 486-8301 Fax: (510)

More information

Engine Encapsulation for Increased Fuel Efficiency of Road Vehicles

Engine Encapsulation for Increased Fuel Efficiency of Road Vehicles Engine Encapsulation for Increased Fuel Efficiency of Road Vehicles A project within the program: Energy and Environment Start: July 2013 End: June 2017 Blago Minovski Department of Mechanics and Maritime

More information

ECT Display Driver Installation for AP2 Module

ECT Display Driver Installation for AP2 Module ECT Display Driver Installation for AP2 Module Overview The ECT Display Driver is a small module with a removable wire harness that mounts behind the driver's foot well cover. All wiring connections are

More information

EU CO 2 emission policy : State of Play. European Commission, DG CLIMA. Climate Action

EU CO 2 emission policy : State of Play. European Commission, DG CLIMA. Climate Action EU CO 2 emission policy : State of Play European Commission, DG CLIMA Clean Mobility Package: an integrated approach 2016 Clean Energy Package RED II: lowemission fuels 2016 European Low-Emission Mobility

More information

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

FEASIBILITY STYDY OF CHAIN DRIVE IN WATER HYDRAULIC ROTARY JOINT

FEASIBILITY STYDY OF CHAIN DRIVE IN WATER HYDRAULIC ROTARY JOINT FEASIBILITY STYDY OF CHAIN DRIVE IN WATER HYDRAULIC ROTARY JOINT Antti MAKELA, Jouni MATTILA, Mikko SIUKO, Matti VILENIUS Institute of Hydraulics and Automation, Tampere University of Technology P.O.Box

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

UNIVERSITÉ DE MONCTON FACULTÉ D INGÉNIERIE. Moncton, NB, Canada PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY

UNIVERSITÉ DE MONCTON FACULTÉ D INGÉNIERIE. Moncton, NB, Canada PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY FACULTÉ D INGÉNIERIE PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY IEEEUMoncton Student Branch UNIVERSITÉ DE MONCTON Moncton, NB, Canada 15 MAY 2015 1 Table of Content

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

SDRAM DEVICE OPERATION

SDRAM DEVICE OPERATION POWER UP SEQUENCE SDRAM must be initialized with the proper power-up sequence to the following (JEDEC Standard 21C 3.11.5.4): 1. Apply power and start clock. Attempt to maintain a NOP condition at the

More information

UNIAIR Variable Valve Actuation System Modelling and Integration to the Engine in the GT-SUITE environment

UNIAIR Variable Valve Actuation System Modelling and Integration to the Engine in the GT-SUITE environment 2008 European Conference Frankfurt am Main October, 20th Variable Valve Actuation System Modelling Integration to the Engine in the environment Paolo Ferreri - Caterina Venezia FPT Research & Mechanical

More information

Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity

Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity Capacity-Achieving Accumulate-Repeat-Accumulate Codes for the BEC with Bounded Complexity Igal Sason 1 and Henry D. Pfister 2 Department of Electrical Engineering 1 Techion Institute, Haifa, Israel Department

More information

Battery-Electric Buses 101. Speaker: Erik Bigelow, Senior Project Manager, Center for Transportation and the Environment, Atlanta, GA

Battery-Electric Buses 101. Speaker: Erik Bigelow, Senior Project Manager, Center for Transportation and the Environment, Atlanta, GA Battery-Electric Buses 101 Speaker: Erik Bigelow, Senior Project Manager, Center for Transportation and the Environment, Atlanta, GA Battery Electric Buses 101 APTA 2017 Sustainability Workshop Minneapolis,

More information