High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)

Size: px
Start display at page:

Download "High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)"

Transcription

1 High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) 1 T H E A C M I E E E I N T E R N A T I O N A L S Y M P O S I U M O N C O M P U T E R A R C H I T E C T U R E ( I S C A ) C O N F E R E N C E, J U N E , , S A I N T - M A L O, F R A N C E. Chien-Chih(Paul) Chao Chih-Chiang(Michael) Chang Instructor: Dr. Ann Gordon-Ross

2 OUTLINE INTRODUCTION RELATED WORK RE-REFERENCE INTERVAL PREDICTION EXPERIMENTAL AND RESULT 2

3 INTRODUCTION Cache replacement policy can be viewed as basing their decision on a prediction LRU(Least Recently Used ) MRU position represent recently used cache block LRU position represent least used cache block It can be thought of as a Re-Reference Interval Prediction 3 Near-immediate re-reference interval Distant re-reference interval HEAD TAIL

4 RELATED WORK LRU provide good performance for workload with high data locality Whose data re-reference only occur in the distant future degrade performance DIP(Dynamic Insertion Policy) Dynamic change prediction policy(lru/bip) Make the same predictions for all reference workload Mix access pattern could not make accurate prediction 4

5 RELATED WORK For distant re-reference interval 5 Victim selection policy need to change From inserting blocks at the head to inserting blocks at the tail Preserve some working set in the cache BIP MISS MISS MISS MISS MISS a1 a6 a2 a7 a3 a1 a4 a2 a3 a5 a1 a2 a3 a4 a5 a6 a7 HIT HIT HIT MISS MISS a1 a2 a3 a7 a4 a5 a5 a6 a4 a1 a2 a3 a4 a5 a6 a7

6 RELATED WORK 6 Hybrid LRU/LFU Use set dueling to dynamic choose between multiple replacement(lru/lfu) Provide scan-resistant

7 RELATED WORK 7

8 RE-REFERENCE INTERVAL PREDICTION Not Recently Used (NRC) replacement policy Static RRIP SRRIP with Hit priority SRRIP with Frequency priority Dynamic RRIP Behavior for a Mixed Access Pattern 8

9 RE-REFERENCE INTERVAL PREDICTION Motivation LRU cannot perform to mixed access patterns 9 Chained-based LRU is impractical for highly associative caches The nru-bit Value of 0 implies was recently used and is predicted to be re-referenced in the near-immediate future Value of 1 implies was not recently used and is predicted to be re-referenced in the distant future

10 RE-REFERENCE INTERVAL PREDICTION Motivation One bit of information is not enough NRU cannot identify non-scan blocks in a mix access pattern M-bit Re-Reference Prediction Values (RRPV) 2 M possible RRPV enables intermediate re-reference intervals prediction Hit Priority (HP) Updates RRIP to be near-immediate on a hit Prioritize replacement of blocks with no hits Frequency Priority Decrementing the RRPV register on cache hits Prioritize replacement of blocks with infrequently re-ref 10

11 RE-REFERENCE INTERVAL PREDICTION 11 LRU Mixed Access Pattern a1, a2, a2, a1, b1, b2, b3, b4, a1, a2 Cache Hit: Move block to MRU Cache Miss: Replace LRU block Move block to MUR 11 / 20

12 RE-REFERENCE INTERVAL PREDICTION NRU Mixed Access Pattern a1, a2, a2, a1, b1, b2, b3, b4, a1, a2 Cache Hit: 1. Set nru-bit of block to 0 Cache Miss: 1. Search for first 1 from left 2. If 1 found go to step (5) 3. Set all nru-bits to 1 4. Go to step (1) 5. Replace block and set nrubit to 0 12

13 RE-REFERENCE INTERVAL PREDICTION SRRIP Mixed Access Pattern a1, a2, a2, a1, b1, b2, b3, b4, a1, a2 Cache Hit: 1. Set RRPV of block to 0 Cache Miss: 1. Search for first 3 from left 2. If 3 found go to step (5) 3. Increment all RRPVs 4. Go to step (1) 5. Replace block and set RRPV to 2 13

14 RE-REFERENCE INTERVAL PREDICTION Dynamic RRIP Motivation SRRIP does not thrash-resistant Bimodal RRIP (BRRIP) Similar to Bimodal Insertion Policy of DIP Insert majority of cache blocks with distant re-ref Insert infrequently with a long re-ref interval Set Dueling Choose between scan-resistant SRRIP and thrash-resistant BRRIP by using two Set Dueling Monitors Use a single policy selection counter 14

15 Simulator EXPERIMENTAL AND RESULT CMP$IM 4-way out-of-oreder 128-entry reorder buffer 3 level cache hierarchy Benchmarks 5 workloads from SPEC CPU real world workloads PC Games Multimedia Server 15

16 EXPERIMENTAL AND RESULT 16 Reduces MPKI by 5-18% Outpeform LRU by an average of 2.5%

17 EXPERIMENTAL AND RESULT 17 Reduces MPKI by 5-15% Outpeform LRU by an average of 5%

18 EXPERIMENTAL AND RESULT 18 SRRIP is insensitive when M>3 Wider RRPV retain blocks for longer periods 2-bit or 3-bit RRPV is sufficient to be scan-resistant

19 EXPERIMENTAL AND RESULT 19 Improve avg 5% above SRRIP

20 EXPERIMENTAL AND RESULT Base on single-core processor with 16-way 2MB LLC RRIP requires less hardware than LRU yet outperform LRU on average RRIP requires 2.5X less hardware than HYB 20

21 Conclusion RRIP predicts intermediate re-ref between nearimmediate and distant re-ref interval SRRIP needs only 2-bit for scan-resistant DRRIP for both scan-resistant and thrash-resistant 21 SRRIP and DRRIP outperform LRU by an average of 4% and 10% The End

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers

Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers Daniel Wong dwong@ece.ucr.edu University of California, Riverside Department of Electrical and Computer Engineering 2 Main Observations

More information

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements Kashif Nizam Khan Zhonghong Ou, Mikael Hirki, Jukka K. Nurminen, Tapio Niemi 1 Motivation The Large Hadron

More information

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures Michael A. Laurenzano, Yunqi Zhang, Jiang Chen, Lingjia Tang and Jason Mars Department of Electrical Engineering

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to Copyright 1996 IEEE. Published in the Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques, October 21-23 1996, Boston, USA. Personal use of this material is permitted.

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Enhancing Energy Efficiency of Database Applications Using SSDs

Enhancing Energy Efficiency of Database Applications Using SSDs Seminar Energy-Efficient Databases 29.06.2011 Enhancing Energy Efficiency of Database Applications Using SSDs Felix Martin Schuhknecht Motivation vs. Energy-Efficiency Seminar 29.06.2011 Felix Martin Schuhknecht

More information

UKSM: Swift Memory Deduplication via Hierarchical and Adaptive Memory Region Distilling

UKSM: Swift Memory Deduplication via Hierarchical and Adaptive Memory Region Distilling UKSM: Swift Memory Deduplication via Hierarchical and Adaptive Memory Region Distilling Nai Xia* Chen Tian* Yan Luo + Hang Liu + Xiaoliang Wang* *: Nanjing University +: University of Massachusetts Lowell

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Setup of a multi-os platform based on the Xen hypervisor. An industral case study. Paolo Burgio

Setup of a multi-os platform based on the Xen hypervisor. An industral case study. Paolo Burgio Setup of a multi-os platform based on the Xen hypervisor An industral case study Paolo Burgio paolo.burgio@unimore.it Roberto Cavicchioli Ignacio Sanudo Olmedo Marco Solieri Who are we? High-Performance

More information

Using on-line power modeling for server power capping

Using on-line power modeling for server power capping IBM Research and University of Texas at Austin Using on-line power modeling for server power capping Madhu Saravana Sibi Govindan, Charles Lefurgy, and Ajay Dholakia Workshop on Energy-Efficient Design

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Louis Bavoil, Principal Engineer Booth #223 - South Hall www.nvidia.com/gdc Full-Screen Pixel Shader SM TEX L2 DRAM CROP SM = Streaming

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK BO SU JUNLI GU LI SHEN WEI HUANG JOSEPH L. GREATHOUSE ZHIYING WANG NUDT AMD RESEARCH DECEMBER 17, 2014 BACKGROUND Dynamic Voltage and Frequency

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Feng Guo, PhD NEC Laboratories America, Inc. Cupertino, CA 5/13/2015 Outline Introduction Proposed MMC for Hybrid

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

SERVICE INFORMATION LETTER

SERVICE INFORMATION LETTER SERVICE INFORMATION LETTER SERVICE INFORMATION LETTER NO. 0165 Page 1 of 6 replaces AN960-416 washers (cad plated carbon steel) with NAS1149C0463R washers (passivated stainless steel). ( supersedes SIL0165

More information

Multi Core Processing in VisionLab

Multi Core Processing in VisionLab Multi Core Processing in Multi Core CPU Processing in 25 August 2014 Copyright 2001 2014 by Van de Loosdrecht Machine Vision BV All rights reserved jaap@vdlmv.nl Overview Introduction Demonstration Automatic

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

Online Estimation of Lithium Ion Battery SOC and Capacity with Multiscale Filtering Technique for EVs/HEVs

Online Estimation of Lithium Ion Battery SOC and Capacity with Multiscale Filtering Technique for EVs/HEVs Sep 26, 2011 Online Estimation of Lithium Ion Battery SOC and Capacity with Multiscale Filtering Technique for EVs/HEVs BATTERY MANAGEMENTSYSTEMS WORKSHOP Chao Hu 1,Byeng D. Youn 2, Jaesik Chung 3 and

More information

The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft

The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft Presented by Professor Eli Livne Department of Aeronautics and Astronautics University

More information

Storage and Memory Hierarchy CS165

Storage and Memory Hierarchy CS165 Storage and Memory Hierarchy CS165 What is the memory hierarchy? L1

More information

Improving Memory System Performance with Energy-Efficient Value Speculation

Improving Memory System Performance with Energy-Efficient Value Speculation Improving Memory System Performance with Energy-Efficient Value Speculation Nana B. Sam and Min Burtscher Computer Systems Laboratory Cornell University Ithaca, NY 14853 {besema, burtscher}@csl.cornell.edu

More information

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped CS61C L31 Caches II (1) inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 31 Caches II 26-11-13 Lecturer SOE Dan Garcia www.cs.berkeley.edu/~ddgarcia GPUs >> CPUs? Many are using

More information

Green Server Design: Beyond Operational Energy to Sustainability

Green Server Design: Beyond Operational Energy to Sustainability Green Server Design: Beyond Operational Energy to Sustainability Justin Meza Carnegie Mellon University Jichuan Chang, Partha Ranganathan, Cullen Bash, Amip Shah Hewlett-Packard Laboratories 1 Overview

More information

Real-time Simulation of Electric Motors

Real-time Simulation of Electric Motors Real-time Simulation of Electric Motors SimuleD Developments in the electric drive-train have the highest priority, but all the same proven development methods are not consequently applied. For example

More information

Glasair Sportsman T3 Tailwheel Suspension System P/N: ABI-51526

Glasair Sportsman T3 Tailwheel Suspension System P/N: ABI-51526 for P/N: Manufactured by ABI, LLC Doc No.: -II REV A October 4, 2018 Table of Contents 1 Introduction 1.1 Purpose 2 Kit Components 3 Applicability 4 Equipment Description 5 5.1 Remove Existing Equipment

More information

A Personalized Highway Driving Assistance System

A Personalized Highway Driving Assistance System A Personalized Highway Driving Assistance System Saina Ramyar 1 Dr. Abdollah Homaifar 1 1 ACIT Institute North Carolina A&T State University March, 2017 aina Ramyar, Dr. Abdollah Homaifar (NCAT) A Personalized

More information

Vehicle Dynamic Simulation Using A Non-Linear Finite Element Simulation Program (LS-DYNA)

Vehicle Dynamic Simulation Using A Non-Linear Finite Element Simulation Program (LS-DYNA) Vehicle Dynamic Simulation Using A Non-Linear Finite Element Simulation Program (LS-DYNA) G. S. Choi and H. K. Min Kia Motors Technical Center 3-61 INTRODUCTION The reason manufacturers invest their time

More information

Presentation: The Automotive Market & Composite Material Outlook Presented by: Marc Benevento, Industrial Market Insight

Presentation: The Automotive Market & Composite Material Outlook Presented by: Marc Benevento, Industrial Market Insight Presentation: The Automotive Market & Composite Material Outlook Presented by: Marc Benevento, Industrial Market Insight 2015 Industrial Market Insight LLC. All Rights Reserved. Outline Ground Transportation

More information

FLEXIBILITY FOR THE HIGH-END DATA CENTER. Copyright 2013 EMC Corporation. All rights reserved.

FLEXIBILITY FOR THE HIGH-END DATA CENTER. Copyright 2013 EMC Corporation. All rights reserved. FLEXIBILITY FOR THE HIGH-END DATA CENTER 1 The World s Most Trusted Storage Platform More Than 20 Years Running the World s Most Critical Applications 1988 1990 1994 2000 2003 2005 2009 2011 2012 New Symmetrix

More information

MD90-FS0 (R180-F34) Thermal Test Report. Networking & Communication Business Unit Thermal Department Jul-11,2014

MD90-FS0 (R180-F34) Thermal Test Report. Networking & Communication Business Unit Thermal Department Jul-11,2014 MD90-FS0 (R180-F34) Thermal Test Report Networking & Communication Business Unit Thermal Department Jul-11,2014 Test Configuration Hardware M/B MD90-FS0 Rev: 0.1 BIOS: D02d BMC: 09.25 SKU: R180-F34 CPU

More information

Opportunistic Energy Sharing Between Power Grid and Electric Vehicles: A Game Theory-based Nonlinear Pricing Policy

Opportunistic Energy Sharing Between Power Grid and Electric Vehicles: A Game Theory-based Nonlinear Pricing Policy Opportunistic Energy Sharing Between Power Grid and Electric Vehicles: A Game Theory-based Nonlinear Pricing Policy Ankur Sarker, Zhuozhao Li, William Kolodzey,, and Haiying Shen Department of Computer

More information

Reseller Update. Update no: 279

Reseller Update. Update no: 279 Reseller Update Update no: 279 Date: 13 th September 2000 ----------------------------------------------------------------------------------------------------------------- INDeX Call Centre Modules Update

More information

Trucks with a mass damper on the front diff, the damper will have to be removed.

Trucks with a mass damper on the front diff, the damper will have to be removed. 922912000B *1291BAG6* 1291BAG6 Thank you for choosing Rough Country for your suspension needs. Rough Country recommends a certified technician install this system. In addition to these instructions, professional

More information

The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft. JAMS Meeting, May

The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft. JAMS Meeting, May The Effects of Damage and Uncertainty on the Aeroelastic / Aeroservoelastic Behavior and Safety of Composite Aircraft JAMS Meeting, May 2010 1 JAMS Meeting, May 2010 2 Contributors Department of Aeronautics

More information

Investigation of CO 2 emissions in usage phase due to an electric vehicle - Study of battery degradation impact on emissions -

Investigation of CO 2 emissions in usage phase due to an electric vehicle - Study of battery degradation impact on emissions - EVS27 Barcelona, Spain, November 17 -, 13 Investigation of CO 2 emissions in usage phase due to an electric vehicle - Study of battery degradation impact on emissions - Abstract Tetsuya Niikuni, Kenichiroh

More information

Battery Aging Analysis

Battery Aging Analysis WHITE PAPER Battery Aging Analysis Improve your ROI by moving to a condition-based replacement strategy Table of Contents Introduction 3 Collecting Data from a Battery Monitoring System 3 Big Data Analytics

More information

2017 Water Reactor Fuel Performance Meeting September 10 (Sun) ~ 14 (Thu), 2017 Ramada Plaza Jeju Jeju Island, Korea

2017 Water Reactor Fuel Performance Meeting September 10 (Sun) ~ 14 (Thu), 2017 Ramada Plaza Jeju Jeju Island, Korea Plant and Cycle Specific Fuel Assembly Bow Evolution Assessment Yuriy Aleshin 1, Jorge Muñoz Cardador 2 1 Westinghouse Electric Company LLC, PWR Fuel Technology: 5801 Bluff Road, Hopkins, SC 29061 - USA

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

Making Decisions. Focus: Semi-structured decisions Analytic v. Heuristic Decisions in the Problem Solving Phases Multiple-Criteria Methods

Making Decisions. Focus: Semi-structured decisions Analytic v. Heuristic Decisions in the Problem Solving Phases Multiple-Criteria Methods Focus: Semi-structured decisions Analytic v. Heuristic Decisions in the Problem Solving Phases Multiple-Criteria Methods Technology has always been used to augment the human decision-making process Now,

More information

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

CONCEPTUAL CAR DESIGN AT BMW WITH FOCUS ON NVH PERFORMANCE

CONCEPTUAL CAR DESIGN AT BMW WITH FOCUS ON NVH PERFORMANCE CONCEPTUAL CAR DESIGN AT BMW WITH FOCUS ON NVH PERFORMANCE Dr. Manfred Kroiss (IABG) Dr. Luc Cremers (BMW Group) Dipl.-Ing. Vasilis Evangelou (BETA CAE Systems SA) 5th ANSA & µeta International Conference

More information

Testbed for Mitigation of Power Fluctuation on Micro-Grid

Testbed for Mitigation of Power Fluctuation on Micro-Grid 10 th Carnegie Mellon Conference on The Electricity Industry Testbed for Mitigation of Power Fluctuation on Micro-Grid Presented by Xin Zhao UC San Diego April 1, 2015 Acknowledgements The project was

More information

EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack

EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack The Southeastern Michigan IEEE EMC Society EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack Presented by: James Muccioli Authors: James Muccioli & Dale Sanders Jastech EMC Consulting,

More information

Testing Of Fluid Viscous Damper

Testing Of Fluid Viscous Damper Testing Of Fluid Viscous Damper Feng Qian & Sunwei Ding, Jingjing Song Shanghai Research Institute of Materials, China Dr. Chien-Chih Chen US.VF Corp, Omni Device, China SUMMARY: The Fluid Viscous Damper

More information

SPARC T4-4 Server with. Oracle Database 11g Release 2

SPARC T4-4 Server with. Oracle Database 11g Release 2 SPARC T4-4 Server with Oracle Database 11g Release 2 TPC-H Rev. 2.14.2 TPC-Pricing 1.6.0 Report Date: September 26, 2011 Total System Cost Composite Query per Hour Metric Price / Performance $925,525 USD

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Army Ground Vehicle Use of CFD and Challenges

Army Ground Vehicle Use of CFD and Challenges Army Ground Vehicle Use of CFD and Challenges Scott Shurin 586-282-8868 scott.shurin@us.army.mil : Distribution A: Approved for public release Outline TARDEC/CASSI Introduction Simulation in the Army General

More information

Using Opal-RT Real-Time Simulation and HIL System in Power and Energy Systems Research

Using Opal-RT Real-Time Simulation and HIL System in Power and Energy Systems Research Using Opal-RT Real-Time Simulation and HIL System in Power and Energy Systems Research Shuhui Li Department of Electrical & Computer Engineering The University of Alabama Presented on February 15, 2017

More information

Disclaimer This presentation may contain product features that are currently under development. This overview of new technology represents no commitme

Disclaimer This presentation may contain product features that are currently under development. This overview of new technology represents no commitme STO1479BU vsan Beyond the Basics Sumit Lahiri Product Line Manager Eric Knauft Staff Engineer #VMworld #STO1479BU Disclaimer This presentation may contain product features that are currently under development.

More information

The Synaptic Damping Control System:

The Synaptic Damping Control System: The Synaptic Damping Control System: increasing the drivers feeling and perception by means of controlled dampers Giordano Greco Magneti Marelli SDC Vehicle control strategies From passive to controlled

More information

OStrich: Fair Scheduler for Burst Submissions of Parallel Jobs. Krzysztof Rzadca Institute of Informatics, University of Warsaw, Poland

OStrich: Fair Scheduler for Burst Submissions of Parallel Jobs. Krzysztof Rzadca Institute of Informatics, University of Warsaw, Poland Krzysztof Rzadca Institute of Informatics, University of Warsaw, Poland! joint work with: Filip Skalski (U Warsaw / Google)! based on work with: Vinicius Pinheiro (Grenoble) Denis Trystram (Grenoble) http://www.flickr.com/photos/bobjagendorf/345683620/

More information

INTEGRATED HYDRO-MECHANICAL SIMULATION OF A CAM-ROCKER ARM-UNIT INJECTOR SYSTEM TO ADDRESS NOISE AND VIBRATION ISSUES

INTEGRATED HYDRO-MECHANICAL SIMULATION OF A CAM-ROCKER ARM-UNIT INJECTOR SYSTEM TO ADDRESS NOISE AND VIBRATION ISSUES GT-Suite Users Conference Frankfurt, Germany, October 10 th 2005 INTEGRATED HYDRO-MECHANICAL SIMULATION OF A CAM-ROCKER ARM-UNIT INJECTOR SYSTEM TO ADDRESS NOISE AND VIBRATION ISSUES R. HAM, H. FESSLER

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

AGENT-BASED MODELING, SIMULATION, AND CONTROL SOME APPLICATIONS IN TRANSPORTATION

AGENT-BASED MODELING, SIMULATION, AND CONTROL SOME APPLICATIONS IN TRANSPORTATION AGENT-BASED MODELING, SIMULATION, AND CONTROL SOME APPLICATIONS IN TRANSPORTATION Montasir Abbas, Virginia Tech (with contributions from past and present VT-SCORES students, including: Zain Adam, Sahar

More information

CRSM: Crowdsourcing based Road Surface Monitoring

CRSM: Crowdsourcing based Road Surface Monitoring CRSM: Crowdsourcing based Road Surface Monitoring Kongyang Chen 1, Mingming Lu 2, Guang Tan 1, and Jie Wu 3 1SIAT, Chinese Academy of Sciences, 2 Central South University 3Temple University Nov. 15 th,

More information

Flexible Ramping Product Technical Workshop

Flexible Ramping Product Technical Workshop Flexible Ramping Product Technical Workshop September 18, 2012 Lin Xu, Ph.D. Senior Market Development Engineer Don Tretheway Senior Market Design and Policy Specialist Agenda Time Topic Presenter 10:00

More information

HCLOUD: RESOURCE-EFFICIENT PROVISIONING IN SHARED CLOUD SYSTEMS

HCLOUD: RESOURCE-EFFICIENT PROVISIONING IN SHARED CLOUD SYSTEMS HCLOUD: RESOURCE-EFFICIENT PROVISIONING IN SHARED CLOUD SYSTEMS Christina Delimitrou 1 and Christos Kozyrakis 2 1 Stanford/Cornell University, 2 Stanford University/EPFL http://mast.stanford.edu ASPLOS

More information

Benchmark of RELAP5 Check Valve Models against Experimental Data

Benchmark of RELAP5 Check Valve Models against Experimental Data Benchmark of RELAP5 Check Valve Models against Experimental Data Damian D. Stefanczyk Manager, Thermal Hydraulics Services Fauske & Associates, LLC (FAI) Contributors: Jens Conzen, Basar Ozar, Kevin Ramsden

More information

AGENT-BASED MICRO-STORAGE MANAGEMENT FOR THE SMART GRID. POWER AGENT: Salman Kahrobaee, Rasheed Rajabzadeh, Jordan Wiebe

AGENT-BASED MICRO-STORAGE MANAGEMENT FOR THE SMART GRID. POWER AGENT: Salman Kahrobaee, Rasheed Rajabzadeh, Jordan Wiebe AGENT-BASED MICRO-STORAGE MANAGEMENT FOR THE SMART GRID POWER AGENT: Salman Kahrobaee, Rasheed Rajabzadeh, Jordan Wiebe Source Vytelingum, P., T. D. Voice, S. D. Ramchurn, A. Rogers, and N. R. Jennings

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

T10/06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION function.

T10/06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION function. To: T10 Technical Committee From: Tim Symons, PMC-Sierra (Tim_Symons@pmc-sierra.com) Date: 28 August 2006 Subject: 06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION functions Revision Information Revision 0:

More information

Catalytic Combustor for Ultra-Low NOx Advanced Industrial Gas Turbines

Catalytic Combustor for Ultra-Low NOx Advanced Industrial Gas Turbines Catalytic Combustor for Ultra-Low NOx Advanced Industrial Gas Turbines March 12-14, 2002 Microturbine & Industrial Gas Turbines Peer Review Meeting Fairfax, VA Solicitation No:DE-SC02-00CH11000 Dr. Shahrokh

More information

Switching Control for Smooth Mode Changes in Hybrid Electric Vehicles

Switching Control for Smooth Mode Changes in Hybrid Electric Vehicles Switching Control for Smooth Mode Changes in Hybrid Electric Vehicles Kerem Koprubasi (1), Eric Westervelt (2), Giorgio Rizzoni (3) (1) PhD Student, (2) Assistant Professor, (3) Professor Department of

More information

Performance Characteristics of Hybrid MPI/OpenMP Implementations of NAS Parallel Benchmarks SP and BT on Large-Scale Multicore Supercomputers

Performance Characteristics of Hybrid MPI/OpenMP Implementations of NAS Parallel Benchmarks SP and BT on Large-Scale Multicore Supercomputers Performance Characteristics of Hybrid MPI/OpenMP Implementations of NAS Parallel Benchmarks SP and BT on Large-Scale Multicore Supercomputers Xingfu Wu Department of Computer Science and Engineering Institute

More information

Recent Predictions on NPR Capsules by Integrated Fuel Performance Model

Recent Predictions on NPR Capsules by Integrated Fuel Performance Model Massachusetts Institute of Technology Department of Nuclear Engineering Advanced Reactor Technology Pebble Bed Project Recent Predictions on NPR Capsules by Integrated Fuel Performance Model Jing Wang

More information

HybridCuts: A Scheme Combining Decomposition and Cutting for Packet Classification

HybridCuts: A Scheme Combining Decomposition and Cutting for Packet Classification HybridCuts: A Scheme Combining Decomposition and Cutting for Packet Classification Wenjun Li Xianfeng Li School of Electronic and Computer Engineering (ECE) Peking University IEEE Hot Interconnects 21

More information

INSTALLATION USER MANUAL

INSTALLATION USER MANUAL INSTALLATION & USER MANUAL DYNAMIC LOAD MANAGEMENT -PREMIUM- This document is copyrighted, 2016 by Circontrol, S.A. All rights are reserved. Circontrol, S.A. reserves the right to make improvements to

More information

ZT-USB Series User Manual

ZT-USB Series User Manual ZT-USB Series User Manual Warranty Warning Copyright All products manufactured by ICP DAS are under warranty regarding defective materials for a period of one year, beginning from the date of delivery

More information

ADF Patterns for Forms Modernization

ADF Patterns for Forms Modernization 2010-2011 NEOS, LLC ADF Patterns for Forms Modernization Rob Nocera, NEOS/Vgo Software NEOS/ Vgo Software, Inc. 2009-2012 Outline Introduction Need for Modernization Nature of the Changes Mapping Forms

More information

Modeling a Phlegmatized Diesel-Engine in a Hybrid Electric Vehicle Using a Transient Predictive Model Michael Auerbach, October 25th, 2010, Frankfurt

Modeling a Phlegmatized Diesel-Engine in a Hybrid Electric Vehicle Using a Transient Predictive Model Michael Auerbach, October 25th, 2010, Frankfurt Modeling a Phlegmatized Diesel-Engine in a Hybrid Electric Vehicle Using a Transient Predictive Model Michael Auerbach, October 25th, 2010, Frankfurt a. M. Institut für Verbrennungsmotoren und Kraftfahrwesen

More information

Inventory Routing for Bike Sharing Systems

Inventory Routing for Bike Sharing Systems Inventory Routing for Bike Sharing Systems mobil.tum 2016 Transforming Urban Mobility Technische Universität München, June 6-7, 2016 Jan Brinkmann, Marlin W. Ulmer, Dirk C. Mattfeld Agenda Motivation Problem

More information

Experience the Hybrid Drive

Experience the Hybrid Drive Experience the Hybrid Drive MAGNA STEYR equips SUV with hybrid drive Hybrid demo vehicle with dspace prototyping system To integrate components into a hybrid vehicle drivetrain, extensive modification

More information

Practical Resource Management in Power-Constrained, High Performance Computing

Practical Resource Management in Power-Constrained, High Performance Computing Practical Resource Management in Power-Constrained, High Performance Computing Tapasya Patki*, David Lowenthal, Anjana Sasidharan, Matthias Maiterth, Barry Rountree, Martin Schulz, Bronis R. de Supinski

More information

Proper Modeling of Integrated Vehicle Systems

Proper Modeling of Integrated Vehicle Systems Proper Modeling of Integrated Vehicle Systems Geoff Rideout Graduate Student Research Assistant Automated Modeling Laboratory University of Michigan Modeling of Integrated Vehicle Powertrain Systems 1

More information

Mary Ann's Baking Co., Inc.

Mary Ann's Baking Co., Inc. Particulars About Your Organisation 1.1 Name of your organization Mary Ann's Baking Co., Inc. 1.2 What is/are the primary activity(ies) or product(s) of your organization? Oil Palm Growers Palm Oil Processors

More information

Battery Power Management

Battery Power Management Battery Power Management for Portable Devices Yevgen Barsukov Jinrong Qian ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface xi Acknowledgments xiii Foreword xv 1 Battery Chemistry Fundamentals

More information

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose Proceedings of the 22 Winter Simulation Conference E. Yücesan, C.-H. Chen, J. L. Snowdon, and J. M. Charnes, eds. SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING Oliver Rose

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

Integrated System Design Optimisation: Combining Powertrain and Control Design

Integrated System Design Optimisation: Combining Powertrain and Control Design Integrated System Design Optimisation: Combining Powertrain and Control Design Dr. Ir. Theo Hofman MSc Emilia Silvas. Size Control Technology Topology Wednesday,, 14:15-14:35 Are we harming the planet

More information

2008 Hummer H2 - Engine Performance & Emission Controls > Engine Controls - 4.8L, 5.3L,

2008 Hummer H2 - Engine Performance & Emission Controls > Engine Controls - 4.8L, 5.3L, Page 1 of 7 2008 Hummer H2 : Engine Performance & Emission Controls > Engine Controls - 4.8L, 5.3L, 6.0L, 6.2L, Or 7.0L > Diagnostic Information And Procedures > DTC P0011 DTC P0011 DTC P0011 Diagnostic

More information

Performance Analysis with Vampir

Performance Analysis with Vampir Performance Analysis with Vampir Bert Wesarg Technische Universität Dresden Outline Part I: Welcome to the Vampir Tool Suite Mission Event trace visualization Vampir & VampirServer The Vampir displays

More information

WHITE PAPER. Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration

WHITE PAPER. Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration WHITE PAPER Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration This document contains Confi dential, Proprietary and

More information

Optimal Policy for Plug-In Hybrid Electric Vehicles Adoption IAEE 2014

Optimal Policy for Plug-In Hybrid Electric Vehicles Adoption IAEE 2014 Optimal Policy for Plug-In Hybrid Electric Vehicles Adoption IAEE 2014 June 17, 2014 OUTLINE Problem Statement Methodology Results Conclusion & Future Work Motivation Consumers adoption of energy-efficient

More information

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures Lecturer SOE Dan Garcia inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 31 Caches II 2008-04-12 HP has begun testing research prototypes of a novel non-volatile memory element, the

More information

The ADS-IDAC Dynamic PSA Platform with Dynamically Linked System Fault Trees

The ADS-IDAC Dynamic PSA Platform with Dynamically Linked System Fault Trees The ADS-IDAC Dynamic PSA Platform with Dynamically Linked System Fault Trees Mihai Diaconeasa Center for Reliability and Resilience Engineering The B. John Garrick Institute for the Risk Sciences University

More information

THE SMART GRID CHARGING EVS

THE SMART GRID CHARGING EVS THE SMART GRID CHARGING EVS GRANT BY THE MINISTRY OF ENERGY Benny Lutati, Vadim Levit, Tal Grinshpoun and Amnon meisels (Smart) Motivation 2 The Smart Grid is here Much work on up-to-date information for

More information

Grid Impacts of Variable Generation at High Penetration Levels

Grid Impacts of Variable Generation at High Penetration Levels Grid Impacts of Variable Generation at High Penetration Levels Dr. Lawrence Jones Vice President Regulatory Affairs, Policy & Industry Relations Alstom Grid, North America ESMAP Training Program The World

More information

2R2. Design Code Design Code M ±20%

2R2. Design Code Design Code M ±20% Wire Wound SMD Power Inductors WPN Series Operating Temp. : -40 ~+125 (Including self-heating) FEATURES Fe base metal material core provides large saturation current Metallization on ferrite core results

More information

Copyright 2012 EMC Corporation. All rights reserved.

Copyright 2012 EMC Corporation. All rights reserved. 1 Transforming Storage: An EMC Overview Symmetrix storage systems Boštjan Zadnik Technology Consultant Bostjan.Zadnik@emc.com 2 Data Sources Are Expanding Source: 2011 IDC Digital Universe Study 3 Applications

More information