A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

Size: px
Start display at page:

Download "A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture"

Transcription

1 A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka u.ac.jp 1 Osaka University & JST, CREST 2 Kochi University of Technology & JST, CREST 1

2 Background Aging effects becoming significant Larger delay margin, lower performance For coping with aging induced delay increase Suppressing aging effects Eliminating faulty modules <= focus of this work Tests for reconfigurable device Manufacturer test: Individual BEs (basic elements) on a chip satisfy specification User test: Mapped circuits satisfy specification Speed requirement of BEs on non critical paths can be relaxed. 2

3 Objective and Contribution Proposes a scheme for identifying a pair of faulty BE and healthy BE to avoid setup delay faults Added a small circuit for delay fault prediction Experimentally verified how much slack is necessary to ensure fault prediction 3

4 Requirements User test is good enough. Manufacturing test is over testing and shortens life time. faults must be predicted before happening. Error recovery is expensive. Testing needs to guide faulty BE elimination. Faulty BE identification is not enough. Clock manipulation is not allowed. Other circuits should continue to work during faulty BE elimination. 4

5 Proposed Fault Avoidance Procedure NO NO Start Path selection Slack assessment Slack too small? YES Identify a pair of BEs w/ slack assessment BE replacement tests for paths including replaced BE All tests passed? YES Standby time ends? YES End NO in Standby Mode Select a path and assess its path slack If slack is smaller than threshold, do BE replacement: Identify a pair of BEs maximizing the path slack, and replace them. Test all the paths going through the replaced BE Continue in standby mode 5

6 Slack Assessment w/ Selectable Selectable delay Normal path Tunable delay Path for slack assessment Ex. = 100ps = 200ps 100 ps < slack < 200 ps Normal operation: upper path is selected. Slack assessment: lower path is selected. testing w/ various delays gives slack range. 6

7 Slack Assessment in Reconfigurable Device Selectable delay is inserted in front of pipeline registers. Cycle time: 10ns TPG BE1 Reg TPG BE1 Reg TPG: test pattern generator RA: response analyzer Path test w/ 200ps delay fails. Slack < 200ps 0ps 200ps BE2 BE4 RA 4.9ns BE3 2.5ns Reg 2.5ns BE2 replaced 800ps BE2 BE4 RA 4.9ns 2.5ns BE3 Reg 2.5ns Predictive Fault Avoidance 4.1ns BE2 0ps Path test w/ 800ps delay succeeds. Slack > 800ps 7

8 Assumed Architecture BE #bits for config per BE: 101 #gates for 4x4 array (65nm): 114,421 West Dout1 Dout2 Fout Din1&2 of N, E, S, W Din1&2 of N, E, S, W Din1 Din2 Fin South North 16bit EM Fout MUX Fout Fin MUX AREG FREG Din1&2 of N,E,W +EM Dout YREG x1 x2,3,4 Dout1 Dout2 Din2 ALU S EM Dout Din1 x1,2,3,4 Dout1 Din1 MUX BREG Din2 MUX Dout2 Fin of N, E, S, W Fin Fin Din2 Din1 Fout East Fout Dout2 Dout1 8

9 Applications for Experiments FIR filter Cycle time: 8,209ps (10% longer than critical path) #paths: 1761 Time needed for testing: 28ms FFT Cycle time: 5,940 (10% longer) #paths: 440 Time needed for testing: 7ms (Clock for config: 1bit, 10MHz) 9

10 Timing error happens when delay increase between tests is larger than threshold. Larger threshold reduces error occurrence, but many paths need to be replaced wastefully. Selectable = 10ps #Paths for Assessment = 150 Paths #1 to Paths #101 to #100 tested #140 tested Error occurred Paths #141 to #150 & #1 to #70 tested Active Standby time Path #1 tested Slack 11ps increases by >11ps. Next test of #1 scheduled. 10

11 Another Timing Error Situation #paths for slack assessment is reduced For reducing memory storing config data A path not included for slack assessment becomes critical Due to manufacturing variability Memory saving could trade success probability of fault prediction 11

12 Evaluation Metric & Setup Metric: Success probability No timing errors happen in 10 years Setup Parameters to change Threshold slack Lengths of active time and standby time (temporally fluctuating w/ std. dev. is 30% of each average) #paths for slack assessment Manufacturing variability Std. dev. of gate delay: 5% of average 1,000 devices are virtually fabricated. Aging 30% delay increase in 10 years 12

13 Success Probability vs. Threshold Slack (FIR filter, avg. active time 1hour, full paths) Success Probability [%] s 0.1s 40 Avg. Standby Time s increase in 1hour: % smaller than 0.001% (1ps) Threshold Slack [%] As threshold slack increases, success prob. increases to 100%. Avg. standby time affects success prob. 13

14 Success Probability vs. Threshold Slack (FFT, avg. active time 1hour, full paths) Success Probability [%] 100 1s Time needed for all paths 7ms <<0.1 s 0.1s 0.01s Average Standby Time Threshold Slack [%] 14

15 Success Probability vs. Threshold Slack (FIR filter, avg. active time 1hour) As #paths for slack assessment decreases, Possibility that other paths cause errors increases Time necessary for testing all paths becomes shorter Success Probability [%] s 1s 80 Average 60 Standby Time 0.01s # of Paths for Slack Assessment Reducing #paths for slack assessment improved success probability 15

16 Conclusions Proposed a scheme for avoiding delay faults in coarse grained reconfigurable device Predicts timing faults before errors happen w/ slack assessment Guides BE replacement without causing new timing faults due to replacement Experiments show small threshold slack <1ps is enough for fault prediction 16

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Analyzing the Thermal Operating Conditions of a Solenoid

Analyzing the Thermal Operating Conditions of a Solenoid A CASE STUDY FROM SOLENOID SYSTEMS Analyzing the Thermal Operating Conditions of a Solenoid BACKGROUND When designing a Solenoid the operating temperature of the Coil must be taken into account when assessing

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

Supplementary file related to the paper titled On the Design and Deployment of RFID Assisted Navigation Systems for VANET

Supplementary file related to the paper titled On the Design and Deployment of RFID Assisted Navigation Systems for VANET Supplementary file related to the paper titled On the Design and Deployment of RFID Assisted Navigation Systems for VANET SUPPLEMENTARY FILE RELATED TO SECTION 3: RFID ASSISTED NAVIGATION SYS- TEM MODEL

More information

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining CMU 18-447 Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining Instructor: Prof. Onur Mutlu TAs: Justin Meza, Yoongu Kim, Jason Lin 1 Adding the REP

More information

MAXQ HRL in Soar. Mitchell Keith Bloch. University of Michigan. May 17, 2010

MAXQ HRL in Soar. Mitchell Keith Bloch. University of Michigan. May 17, 2010 MAXQ HRL in Soar Mitchell Keith Bloch University of Michigan May 17, 2010 Mitchell Keith Bloch (University of Michigan) MAXQ HRL in Soar May 17, 2010 1 / 26 Motivation 1 Replicate the results described

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

SPATIAL AND TEMPORAL PATTERNS OF FATIGUE RELATED CRASHES IN HAWAII

SPATIAL AND TEMPORAL PATTERNS OF FATIGUE RELATED CRASHES IN HAWAII SPATIAL AND TEMPORAL PATTERNS OF FATIGUE RELATED CRASHES IN HAWAII By Karl E. Kim Eric Y. Yamashita Hawaii CODES Project Traffic Records Forum July 29 - August 2, 2001 New Orleans, Louisiana Overview Background

More information

100GE PCS Modeling. Oded Trainin, Hadas Yeger, Mark Gustlin. IEEE HSSG September 2007

100GE PCS Modeling. Oded Trainin, Hadas Yeger, Mark Gustlin. IEEE HSSG September 2007 100GE PCS Modeling Oded Trainin, Hadas Yeger, Mark Gustlin IEEE HSSG September 2007 How Random is the PCS Data? The Proposed 100G PCS has the concept of virtual lanes A 100G stream is scrambled and then

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

Design Specification. DDR2 UDIMM Enhanced Performance Profiles

Design Specification. DDR2 UDIMM Enhanced Performance Profiles Design Specification DDR2 UDIMM Enhanced Performance Profiles Document Change History REV Date Reason for Change 01 Initial Release i Design Specification Table of Contents Chapter 1. Enhanced Performance

More information

Digital Shaping and Optimization of Fuel Injection Pattern for a Common Rail Automotive Diesel Engine through Numerical Simulation

Digital Shaping and Optimization of Fuel Injection Pattern for a Common Rail Automotive Diesel Engine through Numerical Simulation Digital Shaping and Optimization of Fuel Injection Pattern for a Common Rail Automotive Diesel Engine through Numerical Simulation European GT Conference 2017 - Frankfurt am Main Politecnico di Torino:

More information

L, LTC, LTM, LT, Burst Mode, OPTI-LOOP, Over-The-Top and PolyPhase are registered

L, LTC, LTM, LT, Burst Mode, OPTI-LOOP, Over-The-Top and PolyPhase are registered DEMO CIRCUIT 1568A QUICK START LT3652EDD GUIDE DESCRIPTION Demonstration Circuit 1568A is a 2A Monolithic Multi- Chemistry battery charger for solar power applications featuring the LT3652EDD. The LT3652

More information

ExxonMobil SYU LFC Interim Trucking Industrial Risk Analysis

ExxonMobil SYU LFC Interim Trucking Industrial Risk Analysis ExxonMobil SYU LFC Interim Trucking Industrial Risk Analysis Application to County of Santa Barbara Planning & Development Department Energy & Minerals Division Prepared by: Prepared for: 260 Maple Court,

More information

Scroll Compressor Oil Pump Analysis

Scroll Compressor Oil Pump Analysis IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Scroll Compressor Oil Pump Analysis To cite this article: S Branch 2015 IOP Conf. Ser.: Mater. Sci. Eng. 90 012033 View the article

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

Analysis of minimum train headway on a moving block system by genetic algorithm Hideo Nakamura. Nihon University, Narashinodai , Funabashi city,

Analysis of minimum train headway on a moving block system by genetic algorithm Hideo Nakamura. Nihon University, Narashinodai , Funabashi city, Analysis of minimum train headway on a moving block system by genetic algorithm Hideo Nakamura Nihon University, Narashinodai 7-24-1, Funabashi city, Email: nakamura@ecs.cst.nihon-u.ac.jp Abstract A minimum

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Embedded Torque Estimator for Diesel Engine Control Application

Embedded Torque Estimator for Diesel Engine Control Application 2004-xx-xxxx Embedded Torque Estimator for Diesel Engine Control Application Peter J. Maloney The MathWorks, Inc. Copyright 2004 SAE International ABSTRACT To improve vehicle driveability in diesel powertrain

More information

LT2 Sport Lambda Measurement Device. Quick Start Manual

LT2 Sport Lambda Measurement Device. Quick Start Manual LT2 Sport Lambda Measurement Device Quick Start Manual 1.0 6/10/2014 Table of Contents Table of Contents 1 Getting Started... 3 2 Wiring... 4 3 Heater Control Strategy... 6 4 Lambda Sensor Installation

More information

Seventh Framework Programme THEME: AAT Breakthrough and emerging technologies Call: FP7-AAT-2012-RTD-L0 AGEN

Seventh Framework Programme THEME: AAT Breakthrough and emerging technologies Call: FP7-AAT-2012-RTD-L0 AGEN Seventh Framework Programme THEME: AAT.2012.6.3-1. Breakthrough and emerging technologies Call: FP7-AAT-2012-RTD-L0 AGEN Atomic Gyroscope for Enhanced Navigation Grant agreement no.: 322466 Publishable

More information

Visual comparison of Plain & Hazy PP Film

Visual comparison of Plain & Hazy PP Film ECOVAR High Voltage Power Capacitors are manufactured at our Sinnar Plant in India which is an ISO 9001 accredited facility & houses a computer aided design manufacturing processing and testing infrastructure

More information

A New Approach on Battery Management Systems

A New Approach on Battery Management Systems Keywords A New Approach on Battery Management Systems J Chatzakis, K Kalaitzakis, N C Voulgaris Technical University of Crete, Chania, Greece Tel: 302821037210, 302821037213, fax: 302821037530 e-mail:

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Residential Smart-Grid Distributed Resources

Residential Smart-Grid Distributed Resources Residential Smart-Grid Distributed Resources Sharp Overview for EPRI Smart Grid Advisory Meeting Carl Mansfield (cmansfield@sharplabs.com) Sharp Laboratories of America, Inc. October 12, 2009 Sharp s Role

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Aeronautics and Astronautics

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Aeronautics and Astronautics MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Aeronautics and Astronautics 16.00 Introduction to Aerospace and Design Problem Set #4 Issued: February 28, 2002 Due: March 19, 2002 ROCKET PERFORMANCE

More information

EVALUATING VOLTAGE REGULATION COMPLIANCE OF MIL-PRF-GCS600A(ARMY) FOR VEHICLE ON-BOARD GENERATORS AND ASSESSING OVERALL VEHICLE BUS COMPLIANCE

EVALUATING VOLTAGE REGULATION COMPLIANCE OF MIL-PRF-GCS600A(ARMY) FOR VEHICLE ON-BOARD GENERATORS AND ASSESSING OVERALL VEHICLE BUS COMPLIANCE EVALUATING VOLTAGE REGULATION COMPLIANCE OF MIL-PRF-GCSA(ARMY) FOR VEHICLE ON-BOARD GENERATORS AND ASSESSING OVERALL VEHICLE BUS COMPLIANCE Wesley G. Zanardelli, Ph.D. Advanced Propulsion Team Disclaimer:

More information

Peel Grinding. High speed peel grinder from Weldon Solutions

Peel Grinding. High speed peel grinder from Weldon Solutions Peel Grinding Although more widely accepted in Europe, US manufacturers are now starting to show an interest in peel grinding in two primary areas. 1) As an alternative to conventional formed wheel plunge

More information

SmartBall Gas Leak Inspection

SmartBall Gas Leak Inspection SmartBall Gas Leak Inspection EnCana Severn to Crowfoot Pipeline Prepared By: Pure Technologies 705 11 th Ave. SW Calgary, AB (+1) 403.266.6794 www.puretechnologiesltd.com June 22 nd, 2010 Registered Trademark,

More information

S Pyatt. UV glue dispensing studies on the Dima Dotmaster

S Pyatt. UV glue dispensing studies on the Dima Dotmaster UV glue dispensing studies on the Dima Dotmaster 1 The study involves the testing of 3 UV cure glues. The candidates are: Loctite 3525 Dymax 6-621 Dymax 3013 Two dot pattern types have been defined, a

More information

Oscillator Experiment of Simple Girder Bridge coupled with Vehicle

Oscillator Experiment of Simple Girder Bridge coupled with Vehicle The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Oscillator Experiment of Simple Girder Bridge coupled with Vehicle Mitsuo

More information

Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth

Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth Satoru Ihara Retired (urotas@ieee.org) Tetsuo Sasaki, Toshihisa

More information

Application of safety principles for a guidance system in public transport

Application of safety principles for a guidance system in public transport Application of safety principles for a guidance system in public transport H. Schäbe TÜV Rheinland InterTraffic, Cologne, Germany H. Vis & R. Bouwman Advanced Public Transport systems, Helmond, The Netherlands

More information

1. Tolerance Allocation to Optimize Process Capability

1. Tolerance Allocation to Optimize Process Capability 1. Tolerance Allocation to Optimize Process Capability by Andrew M. Terry 1 A. Background The product considered in this example is part of an industrial air conditioning system compressor made by Carrier

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

Aviation S&T: Future Vertical Lift & JMR Tech Demonstrator

Aviation S&T: Future Vertical Lift & JMR Tech Demonstrator Presented to: Cribbins Symposium, Leadership Panel Aviation S&T: Future Vertical Lift & JMR Tech Demonstrator Approved for public release; distribution unlimited. Review completed by the AMRDEC Public

More information

MULTIBODY ANALYSIS OF THE M-346 PILOTS INCEPTORS MECHANICAL CIRCUITS INTRODUCTION

MULTIBODY ANALYSIS OF THE M-346 PILOTS INCEPTORS MECHANICAL CIRCUITS INTRODUCTION MULTIBODY ANALYSIS OF THE M-346 PILOTS INCEPTORS MECHANICAL CIRCUITS Emanuele LEONI AERMACCHI Italy SAMCEF environment has been used to model and analyse the Pilots Inceptors (Stick/Pedals) mechanical

More information

DEMO CIRCUIT 1473A QUICK LT3650EDD-8.4/8.2. LT3650EDD-8.4/8.2 2A Monolithic Li-Ion Battery Charger DESCRIPTION OPERATING PRINCIPLE

DEMO CIRCUIT 1473A QUICK LT3650EDD-8.4/8.2. LT3650EDD-8.4/8.2 2A Monolithic Li-Ion Battery Charger DESCRIPTION OPERATING PRINCIPLE DEMO CIRCUIT 1473A QUICK LT3650EDD-8.4/8.2 START GUIDE DESCRIPTION Demonstration Circuit 1473A is a 2A Monolithic Li-Ion Battery Charger featuring the LT3650EDD-8.4/8.2. The LT3650 is a complete mid-power

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

DC Microgrids and Distribution Systems for Residences

DC Microgrids and Distribution Systems for Residences Microgrids and Distribution Systems for Residences Toshifumi ISE, Hiroaki KAKIGANO (Osaka University, JAPAN) Outline of the Presentation 1. Introduction 2. System Configuration and Control Scheme 3. System

More information

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR Velimir Nedic Thomas A. Lipo Wisconsin Power Electronic Research Center University of Wisconsin Madison

More information

IS42S32200L IS45S32200L

IS42S32200L IS45S32200L IS42S32200L IS45S32200L 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM OCTOBER 2012 FEATURES Clock frequency: 200, 166, 143, 133 MHz Fully synchronous; all signals referenced to a positive

More information

Benefits ofc onductance

Benefits ofc onductance Midtronics Standby Sales Inform ation Benefits ofc onductance ForStandby Division Reference Inform ation,products and Services Conductance Technology What is conductance? Conductance is a measurement of

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

Development of Low-thrust Thruster with World's Highest Performance Contributing to Life Extension of Artificial Satellites

Development of Low-thrust Thruster with World's Highest Performance Contributing to Life Extension of Artificial Satellites Development of Low-thrust Thruster with World's Highest Performance Contributing to Life Extension of Artificial Satellites 40 NOBUHIKO TANAKA *1 DAIJIRO SHIRAIWA *1 TAKAO KANEKO *2 KATSUMI FURUKAWA *3

More information

Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide Brayton Cycle

Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide Brayton Cycle The 6th International Supercritical CO2 Power Cycles Symposium March 27-29, 2018, Pittsburgh, Pennsylvania Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide

More information

Feedwater Reg Valve Internal Erosion OR17 Fall 2015

Feedwater Reg Valve Internal Erosion OR17 Fall 2015 Seabrook Station Feedwater Reg Valve Internal Erosion OR17 Fall 2015 Jim Johnson Feedwater System Engineer 2 Seabrook FRV Setup Seabrook Station FRV History Started Commercial Operation in 1990 Feedwater

More information

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Homework 1 submission

More information

I-20 EAST TRANSIT INITIATIVE Tier 1 and Tier 2 Alternatives Screening Report EXECUTIVE SUMMARY

I-20 EAST TRANSIT INITIATIVE Tier 1 and Tier 2 Alternatives Screening Report EXECUTIVE SUMMARY EXECUTIVE SUMMARY The purpose of this report is to document the results of the Tier 1 and Tier 2 Screening of alternatives for the I-20 East Transit Initiative. The two-tier screening process presented

More information

Development of the Preferred Option and Implementation Plan

Development of the Preferred Option and Implementation Plan Presentation To RMRA Peer Panel Day #1 Preferred Option and Risk Assessment August 25, 2009 TEMS, Inc. / Quandel Consultants, LLC 0 Development of the Preferred Option and Implementation Plan 1 1 Results

More information

REDUCING VULNERABILITY OF AN ELECTRICITY INTENSIVE PROCESS THROUGH AN ASYNCHRONOUS INTERCONNECTION

REDUCING VULNERABILITY OF AN ELECTRICITY INTENSIVE PROCESS THROUGH AN ASYNCHRONOUS INTERCONNECTION REDUCING VULNERABILITY OF AN ELECTRICITY INTENSIVE PROCESS THROUGH AN ASYNCHRONOUS INTERCONNECTION Summary Abhay Kumar Mata Prasad R C Maheshwari Asea Brown Boveri Ltd. 4th Floor, 71 Nehru Place, New Delhi

More information

Powering Schemes for the Strip Trackers

Powering Schemes for the Strip Trackers Powering Schemes for the Strip Trackers Peter W Phillips STFC Rutherford Appleton Laboratory and ATLAS ITk Strip Community ACES, CERN, 8 th March 2016 Outline Proposed CMS Tracker Distribution Scheme Module

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

A NOVEL IN-FLIGHT SPACE BATTERY HEALTH ASSESSMENT SYSTEM Brandon Buergler (1), François Bausier (1)

A NOVEL IN-FLIGHT SPACE BATTERY HEALTH ASSESSMENT SYSTEM Brandon Buergler (1), François Bausier (1) A NOVEL IN-FLIGHT SPACE BATTERY HEALTH ASSESSMENT SYSTEM Brandon Buergler (1), François Bausier (1) (1) ESA-ESTEC, Keplerlaan 1, 2200 AG Noordwijk, NL, Email: brandon.buergler@esa.int, francois.bausier@esa.int

More information

An Application of the Fault Tree Analysis for the Power System Reliability Estimation

An Application of the Fault Tree Analysis for the Power System Reliability Estimation An Application of the Fault Tree Analysis for the Power System Reliability Estimation ABSTRACT Andrija Volkanovski, Marko Čepin, Borut Mavko Reactor Engineering Division Jožef Stefan Institute Jamova 39,

More information

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks

SYNCHRONOUS DRAM. 128Mb: x32 SDRAM. MT48LC4M32B2-1 Meg x 32 x 4 banks SYNCHRONOUS DRAM 128Mb: x32 MT48LC4M32B2-1 Meg x 32 x 4 banks For the latest data sheet, please refer to the Micron Web site: www.micron.com/sdramds FEATURES PC100 functionality Fully synchronous; all

More information

ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX)

ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX) ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX) G. Arduini,, W. Herr, E. Métral and T. Pieloni Part I (LHC) by Werner Introduction and motivation for the injector complex Review

More information

Examples of Electric Drive Solutions and Applied Technologies

Examples of Electric Drive Solutions and Applied Technologies Examples of Electric Drive Solutions and Applied Technologies 2 Examples of Electric Drive Solutions and Applied Technologies Atsushi Sugiura Haruo Nemoto Ken Hirata OVERVIEW: Hitachi has worked on specific

More information

Voting Draft Standard

Voting Draft Standard page 1 of 7 Voting Draft Standard EL-V1M4 Sections 1.7.1 and 1.7.2 March 2013 Description This proposed standard is a modification of EL-V1M4-2009-Rev1.1. The proposed changes are shown through tracking.

More information

Fault-Tolerant Control of a Blade-pitch Wind Turbine With Inverter-fed Generator

Fault-Tolerant Control of a Blade-pitch Wind Turbine With Inverter-fed Generator Fault-Tolerant Control of a Blade-pitch Wind Turbine With Inverter-fed Generator V. Lešić 1, M. Vašak 1, N. Perić 1, T. Wolbank 2 and G. Joksimović 3 1 Faculty of Electrical Engineering and Computing,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 MOTIVATION OF THE RESEARCH Electrical Machinery is more than 100 years old. While new types of machines have emerged recently (for example stepper motor, switched reluctance

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

Advanced Virgo Beam Geometry

Advanced Virgo Beam Geometry Advanced Virgo Beam Geometry Stefan Hild for OSD OSD internal review, March 2009 Executive summary: Beam Geometry Advanced Virgo needs to have a sensitivity competitive with Advanced LIGO in order to contribute

More information

Reasonableness Test RT 015 /11 Salisbury Substation 11kV Feeders

Reasonableness Test RT 015 /11 Salisbury Substation 11kV Feeders Reasonableness Test RT 015 /11 Salisbury Substation 11kV Feeders Reasonableness Test: Salisbury Substation 11kV Feeders DISCLAIMER The purpose of this document is to inform customers, Interested Parties,

More information

Powering Schemes for the Strip Trackers

Powering Schemes for the Strip Trackers Powering Schemes for the Strip Trackers Peter W Phillips STFC Rutherford Appleton Laboratory and ATLAS ITk Strip Community ACES, CERN, 8 th March 2016 Outline Proposed CMS Tracker Distribution Scheme ATLAS

More information

Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research

Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research Simulation Approach for Aircraft Spare Engines & Engine Parts Planning Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research 1 Outline Background

More information

Battery Technology for Data Centers and Network Rooms: Site Planning

Battery Technology for Data Centers and Network Rooms: Site Planning Battery Technology for Data Centers and Network Rooms: Site Planning White Paper # 33 Executive Summary The site requirements and costs for protecting information technology and network environments are

More information

Product Information ECN 425 EQN 437. Absolute Rotary Encoders with Hollow Shaft and Expanding Ring Coupling for Safety-Related Applications

Product Information ECN 425 EQN 437. Absolute Rotary Encoders with Hollow Shaft and Expanding Ring Coupling for Safety-Related Applications Product Information ECN 425 EQN 437 Absolute Rotary Encoders with Hollow Shaft and Expanding Ring Coupling for Safety-Related Applications 4/2014 ECN 425, EQN 437 Rotary encoders for absolute position

More information

Improvement of Vehicle Dynamics by Right-and-Left Torque Vectoring System in Various Drivetrains x

Improvement of Vehicle Dynamics by Right-and-Left Torque Vectoring System in Various Drivetrains x Improvement of Vehicle Dynamics by Right-and-Left Torque Vectoring System in Various Drivetrains x Kaoru SAWASE* Yuichi USHIRODA* Abstract This paper describes the verification by calculation of vehicle

More information

Analysis of Radial and Trunk Feeder Transit System Configurations in Downtown Charlottesville

Analysis of Radial and Trunk Feeder Transit System Configurations in Downtown Charlottesville Analysis of Radial and Trunk Feeder Transit System Configurations in Downtown Charlottesville 1. Introduction During the stakeholder input sessions of Charlottesville Area Transit s (CAT) Transit Development

More information

SAFETY AND RELIABILITY ANALYSIS OF ELECTRIC POWER STEERING SYSTEM USED IN AUTOMOBILES

SAFETY AND RELIABILITY ANALYSIS OF ELECTRIC POWER STEERING SYSTEM USED IN AUTOMOBILES SAFETY AND RELIABILITY ANALYSIS OF ELECTRIC POWER STEERING SYSTEM USED IN AUTOMOBILES A.Vanaja 1, H.Gargama 2, B. Sarvesh 3 1 M.Tech, Reliability Engg. Student, JNTUACEA Anantapuramu, Andhra Pradesh (India)

More information

2013 Grid of the Future Symposium. Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited Plant

2013 Grid of the Future Symposium. Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited Plant 21, rue d Artois, F-75008 PARIS CIGRE US National Committee http : //www.cigre.org 2013 Grid of the Future Symposium Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited

More information

European Tyre and Rim Technical Organisation RETREADED TYRES IMPACT OF CASING AND RETREADING PROCESS ON RETREADED TYRES LABELLED PERFORMANCES

European Tyre and Rim Technical Organisation RETREADED TYRES IMPACT OF CASING AND RETREADING PROCESS ON RETREADED TYRES LABELLED PERFORMANCES European Tyre and Rim Technical Organisation RETREADED TYRES IMPACT OF CASING AND RETREADING PROCESS ON RETREADED TYRES LABELLED PERFORMANCES Content 1. Executive summary... 4 2. Retreaded tyres: reminder

More information

Local Control Network - building controls in perfection

Local Control Network - building controls in perfection 2 1 F3,15A250V 2 1 1 2 F3,15A250V Installation guide Local Control Network - building controls in perfection Sensor/actuator module for DIN-rail mounting The is a sensor/actuator module for building installation

More information

ZB0050 / ZB0051 ZB0070 / ZB0071

ZB0050 / ZB0051 ZB0070 / ZB0071 Operating instructions Safety Rope Emergency Stop Switches UK ZB0050 / ZB0051 ZB0070 / ZB0071 7390877 / 02 08/2013 Contents 1 Safety instructions...3 2 Installation / set-up...4 2.1 Applications...4 2.2

More information

Application Note. First trip test. A circuit breaker spends most of its lifetime conducting current without any

Application Note. First trip test. A circuit breaker spends most of its lifetime conducting current without any Application Note First trip test A circuit breaker spends most of its lifetime conducting current without any operation. Once the protective relay detects a problem, the breaker that was idle for maybe

More information

Features. Figure 1. Block Diagram. Figure 2. Input - Output DC Voltage

Features. Figure 1. Block Diagram. Figure 2. Input - Output DC Voltage Features CBAM PQ-28 The Power Quality Module (PQ-28) is a single input power conditioning module 1/2 brick package (2.28 x 2.4 x 0.50 ) Designed for 200 Watts Designed to interface with MIL-STD-1275B,

More information

Understanding the Performance of Parallel Temporary Protective Grounds

Understanding the Performance of Parallel Temporary Protective Grounds Understanding the Performance of Parallel Temporary Protective Grounds Thomas Lancaster, Shashi Patel, Josh Perkel, & Anil Poda NEETRAC Introduction NEETRAC Test Program Test Results Modeling De-Rating

More information

Modern Approach to Liquid Rocket Engine Development for Microsatellite Launchers

Modern Approach to Liquid Rocket Engine Development for Microsatellite Launchers Modern Approach to Liquid Rocket Engine Development for Microsatellite Launchers SoftInWay: Turbomachinery Mastered 2018 SoftInWay, Inc. All Rights Reserved. Introduction SoftInWay: Turbomachinery Mastered

More information

TRAFFIC IMPACT ASSESSMENT PART OF AN ENVIRONMENTAL IMPACT ASSESSMENT FOR THE KEBRAFIELD ROODEPOORT COLLIERY IN THE PULLEN S HOPE AREA

TRAFFIC IMPACT ASSESSMENT PART OF AN ENVIRONMENTAL IMPACT ASSESSMENT FOR THE KEBRAFIELD ROODEPOORT COLLIERY IN THE PULLEN S HOPE AREA TRAFFIC IMPACT ASSESSMENT PART OF AN ENVIRONMENTAL IMPACT ASSESSMENT FOR THE KEBRAFIELD ROODEPOORT COLLIERY IN THE PULLEN S HOPE AREA 20 March 2014 Report prepared by: Corli Havenga Transportation Engineers

More information

US Army utilizes TQG s for power generation & PDISE for power distribution. Power grid is setup based on recommendations of PM MEP.

US Army utilizes TQG s for power generation & PDISE for power distribution. Power grid is setup based on recommendations of PM MEP. US Army utilizes TQG s for power generation & PDISE for power distribution. Power grid is setup based on recommendations of PM MEP. Typical setup: a generator set or power plant connected to a M200 or

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

QS 100 LSM Power Management

QS 100 LSM Power Management 990000717 Revision A Table of Contents Revision History...2 Overview...3 Soft Start not complete fault...3 Under voltage fault...4 Under voltage warning limit...5 Over voltage maximum limit...5 Over voltage

More information

Outline. Improving the Dynamic Performance of Truck/Full- Trailers. Background Feric research. Questions

Outline. Improving the Dynamic Performance of Truck/Full- Trailers. Background Feric research. Questions Creating forest sector solutions www.fpinnovations.ca Improving the Dynamic Performance of Truck/Full- Trailers by James Sinnett One vision Global competitiveness Outline Background Feric research Project

More information

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM

IS42S32200C1. 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM 512K Bits x 32 Bits x 4 Banks (64-MBIT) SYNCHRONOUS DYNAMIC RAM JANUARY 2007 FEATURES Clock frequency: 183, 166, 143 MHz Fully synchronous; all signals referenced to a positive clock edge Internal bank

More information

Accelerated Life Testing Final Report

Accelerated Life Testing Final Report Accelerated Life Testing Final Report November 6, 2006 Prepared by the, Project team: Lalith Jayasinghe, Conan O Rourke, Mariana Figueiro Background During the review process of the ENERGY STAR Light Fixture

More information

Market Monitoring Update

Market Monitoring Update Market Monitoring Update Eric Hildebrandt, Ph.D. Executive Director, Department of Market Monitoring EIM Governing Body Meeting General Session January 24, 2019 EIM prices in 2018 reflect regional supply

More information

Integrated Architectures Management, Behavior models, Controls and Software

Integrated Architectures Management, Behavior models, Controls and Software Integrated Architectures Management, Behavior models, Controls and Software Realize innovation. Engineering challenges Bringing everything together Fuel efficiency Emissions Acceleration Performance Energy

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

Total installed cost comparison study of motorized shading systems. line voltage AC system vs. low voltage Sivoia QED system

Total installed cost comparison study of motorized shading systems. line voltage AC system vs. low voltage Sivoia QED system Total installed cost comparison study of motorized shading systems line voltage AC system vs. low voltage Sivoia QED system Technical white paper December 008 Introduction Execution details Motorized shading

More information

APPLICATION OF STAR-CCM+ TO TURBOCHARGER MODELING AT BORGWARNER TURBO SYSTEMS

APPLICATION OF STAR-CCM+ TO TURBOCHARGER MODELING AT BORGWARNER TURBO SYSTEMS APPLICATION OF STAR-CCM+ TO TURBOCHARGER MODELING AT BORGWARNER TURBO SYSTEMS BorgWarner: David Grabowska 9th November 2010 CD-adapco: Dean Palfreyman Bob Reynolds Introduction This presentation will focus

More information

Failure Modes and Effects Analysis for Domestic Electric Energy Meter Using In-Service Data

Failure Modes and Effects Analysis for Domestic Electric Energy Meter Using In-Service Data IOP Conference Series: Earth and Environmental Science PAPER OPEN ACCESS Failure Modes and Effects Analysis for Domestic Electric Energy Meter Using In-Service Data To cite this article: Ning Li et al

More information