e-smart 2009 Low cost fault injection method for security characterization

Size: px
Start display at page:

Download "e-smart 2009 Low cost fault injection method for security characterization"

Transcription

1 e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE Site Georges Charpak Centre Microélectronique de Provence 880, route de Mimet Gardanne

2 Outline Secure ICs design issues Threats The need for security characterization tools Short review of existing tools A new fault injection based characterization tool Synchronous ICs timing analysis Faults injection through setup time violation Local over clocking Experimental results Fault nature Fault coverage 2 / 27

3 The threat Decoder Legal Encrypted video stream K Decrypted video stream «Attack» = method allowing to extract secret information (key K) stored into the device Illegal K K K K Cloned Decoder Cloned Decoder Cloned Decoder Cloned Decoder 3 / 27

4 Attacks on physical devices Cryptanalysis : mathematical analysis of plain and cipher texts sets plain? cipher Side channel attacks (SCA) : analysis of the chip environment when it performs sensitive computations plain? x(t) Fault attacks : modifications of the chip environment to bypass H/S protections plain? Y Invasive attacks : probing of internal signals? 4 / 27

5 Fault Attacks (FA) Experiments K Fault injection means (FIM) Cipher texts, side channels, behavior, etc. Data extraction Methods Corrupted execution Differential Fault Analysis Fault based Collision Safe-error Fault models (FM) Injection time Bit /Byte Random / Given value FIM needs to induce faults fitting the FM to allow secret information extraction 5 / 27

6 Fault Attacks (FA) Requirements : fault injection means must create faults compatible with the fault model, i.e. which enable to fault : particular bits without modifying others, (via spatial and/or timing control) in a particular way (form control), in a repeatable way, several times, without destroying the circuit. And if possible, at low-cost. 6 / 27

7 The need of security characterization tools Fault injection attacks work well Security issue Needs : - Evaluate fault effect on circuit behavior - Validate Counter measures Security characterization tool When and how? at design time (virtual)? after manufacturing (real)? fault injection means? 7 / 27

8 When should security evaluation take place? Design flow Simulation Specifications : Functionality Power Speed etc. Synthesis Models gds2 Manufacturing IC Characterization 8 / 27

9 Characterization when designing (design flow) Characterization in the virtual world Allow security weakness detection before manufacturing saving redesign costs Many injection tools developed for dependability analysis : during simulation (at different abstraction levels) - use of simulators features time consuming - instrumentation-based techniques (saboteurs, mutants) Emulate / Prototyping (on FPGA) - use of device reconfiguration features - instrumentation-based techniques (saboteurs, mutants) hardware acceleration 9 / 27

10 Characterization in the real world Characterization after manufacturing Use of real fault injection means : May be expensive EM pulse Vcc Over clocking Clk glitch 0 Power glitch Component preparation (opening, thinning, etc.) Flash light Laser (IR, UV, green, etc.) Source : [Skorobogatov02] 10 / 27

11 A new fault injection based characterization tool A tool for security characterization Target : Hardware prototype : FPGA for FPGA or ASIC final design ASIC prototype (clock access needed) 11 / 27

12 Fault injection principle Synchronous IC principle (reminds) propagation delay n m data Combinational logic D Q D Q Dff i Dff i+1 clk Data are captured on the clock rising edge Time between two rising edges (i.e. clock period) depends on the propagation delay 12 / 27

13 Fault injection principle Synchronous IC principle (reminds) propagation delay n m data Combinational logic D Q D Q Dff i Dff i+1 clk T clk clk propagation delay + setup time 12 / 27

14 Fault injection principle Fault injection principle propagation delay + setup time + margin n inputs Combinational logic D 0 D 1 D m-1 m outputs clk T clk 13 / 27

15 Fault injection principle Fault injection principle propagation delay + setup time + margin n inputs Combinational logic D 0 D 1 D m-1 m outputs clk T clk fault < T clk early data latching setup time violation Fault injection 13 / 27

16 Fault injection principle Fault location - Propagation delay delay outputs = f (inputs) n Combinational logic D 0 D 1 D m-1 m f logical function each D i had its own propagation delay inputs outputs Fault location : where delay Di > Tclk setup time Propagation times depend on : the logical states ( 0 / 1 ) the propagation delay changes with the inputs allow to change the fault location the power supply voltage the temperature 14 / 27

17 Fault injection by setup time violation Fault injection - Over clocking A well known approach decreasing the clock period unless faults appear by setup time violation T clk clk propagation delay + setup time T clk fault clk drawback : faults are injected at each clock cycle no timing control 15 / 27

18 Fault injection Local over clocking Setup time violation by modifying one clock cycle Fault injection by setup time violation T clk clk T clk - T clk fault injection cycle choice fault-nature fine tuning through T fine control (one-bit, two-bits faults) T variation step = 35 ps Experiment T clk = 10 ns MHz 16 / 27

19 Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk Tclk - T 17 / 27

20 Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk clk Tclk - T 17 / 27

21 Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk clk clk Tclk - T All digital, easy to implement. 17 / 27

22 Experimental results Experimental setup COM serial trigger Clock generation board AES board COM serial clock 18 / 27

23 Experimental results T = 0 19 / 27

24 Experimental results T = 20 x 35 ps 19 / 27

25 Experimental results T = 40 x 35 ps 19 / 27

26 Experimental results T = 60 x 35 ps 19 / 27

27 Experimental results T = 80 x 35 ps 19 / 27

28 Experimental results T = 100 x 35 ps 19 / 27

29 Experimental results AES 128 bits (Rijndael / FIPS - 197) Round key Plain text 128 Mux AddRoundKey 128 Cipher text 128 Round nb 128 MixColumns 128 ShiftRows 128 SubBytes Round nb clk 128 bits data path worst case for fault coverage clocked on Sboxes outputs 20 / 27

30 Experimental results Experiment scheme Initialization : T = 0, error = 0 Send plaintext T and key K to the AES Compute c = AES(T, K) Until error 0 : T = T + 35 ps c = AES T (T, K) error = c c return (error, T) Experiment results : error = 1-bit fault (rate greater than 90% for different T, K) Repeat previous algorithm for T,K constant -> same results 21 / 27

31 Repeat algorithm times for T, K random Experimental results For each 1-bit fault -> retrieve T (i.e. critical time) -> retrieve error (fault location) - critical time changes with data : Number of occurrence Critical time is given for 1-bit faults Critical time (ps) 22 / 27

32 Experimental results Fault coverage AES : 16 bytes (Sboxes outputs) 128 bits (AES state) Ability to inject fault on different location Remember : propagation times depend on : the logical states ( 0 / 1 ) the propagation delay changes with the inputs allow to change the fault location Analyze previous data to draw the faulted bytes and bits maps 23 / 27

33 Experimental results - Fault location analysis at byte level (Sboxes outputs) 350 Byte 0 Byte Byte 2 Byte 3 Number of occurrence Byte 4 Byte 5 Byte 6 Byte 7 Byte 8 Byte 9 Byte Byte 11 Byte Critical time (ps) Byte 13 Byte 14 Byte / 27

34 Experimental results - Fault location analysis at byte level (Sboxes outputs) 350 Byte 0 Byte (1418) Byte 2 Byte 3 Number of occurrence (1913) 2 (1943) 7 (1639) Byte 4 Byte 5 Byte 6 Byte 7 Byte 8 Byte 9 Byte 10 Byte 11 Byte Critical time (ps) Byte 13 Byte 14 Byte / 27

35 Experimental results - Fault location analysis at byte level (Sboxes outputs) 350 Byte 0 Byte (1418) Byte 2 Byte 3 Number of occurrence (1913) 2 (1943) 7 (1639) Byte 4 Byte 5 Byte 6 Byte 7 Byte 8 Byte 9 Byte 10 Byte 11 Byte (37) (5) Critical time (ps) 8 (41) Byte 13 Byte 14 Byte / 27

36 Experimental results - Fault location analysis at bit level (Byte 3) 250 Number of occurrence bit 0 bit 3 bit 6 bit 7 bit 1 Byte 3 bit 5 bit Critical time (ps) 25 / 27

37 Experimental results - fault location synthesis : Byte 0 Byte 1 Byte 2 Byte 3 Byte 4 Byte 5 Byte 6 Byte 7 Byte 8 Byte 9 Byte 10 Byte 11 Byte 12 Byte 13 Byte 14 Byte 15 bit bit bit bit bit bit bit bit Total bit faults were injected : at every Sbox at 64 bits < 10 < Without modifying the design Reduced design instrumentation (on the clock tree) allows reaching all locations Enough to emulate all differential fault attacks 26 / 27

38 Conclusion A new low-cost fault injection based characterization tool Setup time violation (clock access needed) Low-cost (a few k ) Easy to implement All digital No design modification needed Hardware prototype : On chip FPGA s DLL FPGA for FPGA or ASIC final design during design flow ASIC prototype (after manufacturing) Very good timing control (choice of the injection cycle) Fine fault nature control (1-bit fault or more) Fault coverage : ok to implement DFA extendable with a few instrumentation Contact for more information : dutertre@emse.fr 27 / 27

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure Cardis 2010 The ninth Smart Card Research and Advanced Application IFIP Conference When Clocks Fail: On Critical Paths and Clock Faults Jean-Max Dutertre Michel Agoyan Bruno Robisson Assia Tria David Naccache

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Jakub Breier, Xiaolu Hou and Yang Liu 10 September 2018 1 / 25 Table of Contents 1 Background and Motivation 2 Overview

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Marwan Adas December 6, 2011

Marwan Adas December 6, 2011 Marwan Adas December 6, 2011 SPONGENT A Lighweight hash function SPONGENT = SPONGE + PRESENT + Unkeyed PRESENT- - - type permutation π: 4- bit S- box and bit diffusion Diagrams from www.spongent.com SPONGENT

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V3 PP 26-30 www.iosrjen.org (FPGA) based design for minimizing petrol spill from the pipe

More information

Smart Testing of Smart Charging

Smart Testing of Smart Charging Smart Testing of Smart Charging Consistent Test Case Coverage for Electric Mobility With the increasing diversity of electric vehicles and charging station systems, interoperability between components

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Full Vehicle Simulation for Electrification and Automated Driving Applications

Full Vehicle Simulation for Electrification and Automated Driving Applications Full Vehicle Simulation for Electrification and Automated Driving Applications Vijayalayan R & Prasanna Deshpande Control Design Application Engineering 2015 The MathWorks, Inc. 1 Key Trends in Automotive

More information

Holistic Range Prediction for Electric Vehicles

Holistic Range Prediction for Electric Vehicles Holistic Range Prediction for Electric Vehicles Stefan Köhler, FZI "apply & innovate 2014" 24.09.2014 S. Köhler, 29.09.2014 Outline Overview: Green Navigation Influences on Electric Range Simulation Toolchain

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 127 CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 128 8.1. Introduction In a recent investigation, we have modified

More information

Using cloud to develop and deploy advanced fault management strategies

Using cloud to develop and deploy advanced fault management strategies Using cloud to develop and deploy advanced fault management strategies next generation vehicle telemetry V 1.0 05/08/18 Abstract Vantage Power designs and manufactures technologies that can connect and

More information

How to generate the Sbox of Luffa

How to generate the Sbox of Luffa How to generate the Sbox of Luffa ESC2010@Remich (Jan.11.2010) Dai Watanabe SDL, Hitachi Luffa is a registered trademark of Hitachi, Ltd. 1 Outline Topic How to find an 4-bit sbox optimized for bit slice

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency : New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency Joanna Suresh, Product Manager, SICK, Inc. Agenda : Introduction : Selection of encoders - The

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Vehicle Diagnostic Logging Device

Vehicle Diagnostic Logging Device UCCS SENIOR DESIGN Vehicle Diagnostic Logging Device Design Requirements Specification Prepared by Mackenzie Lowrance, Nick Hermanson, and Whitney Watson Sponsor: Tyson Hartshorn with New Planet Technologies

More information

Quality control considerations for the development of the front end hybrid circuits for the CMS Outer Tracker upgrade

Quality control considerations for the development of the front end hybrid circuits for the CMS Outer Tracker upgrade Quality control considerations for the development of the front end hybrid circuits for the CMS Outer Tracker upgrade 1 CERN CH-1211 Geneva 23, Switzerland E-mail: tomasz.gadek@cern.ch G. Blanchot, J.

More information

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB is a leader in power and automation technologies that enable utility and industry customers to improve

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

Transforming the US Electric Grid

Transforming the US Electric Grid Driving economic growth, innovation, and workforce development Transforming the US Electric Grid Supply Network Demand Traditional Generation (Coal, Gas, Nuclear, Hydro) Solar Wind Biomass Energy Storage

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

Safety Exhaust Valve Integration Guide

Safety Exhaust Valve Integration Guide Safety Exhaust Valve Integration Guide FRL-SIF-625 the total systems approach to air preparation Table of Contents Integration Guide Wilkerson E28/Q28 Safety Exhaust Valve General Information Introduction......

More information

MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N

MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N 2 0 1 4 Outline Elements and design of mechatronic systems have been described in previous sections

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

The Latest Sensor Trends

The Latest Sensor Trends Sensing & Feedback Technologies The Latest Sensor Trends Agenda Miniature sensors open up new applications Alternatives to Fiber optics Pneumatic cylinder sensing: Dual systems, analog and lifetime warranties

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Phil Barber CENEX Technical review 19 th May 2011 Overview of WS8 Workstream 8 was set up to

More information

Internal Combustion Optical Sensor (ICOS)

Internal Combustion Optical Sensor (ICOS) Internal Combustion Optical Sensor (ICOS) Optical Engine Indication The ICOS System In-Cylinder Optical Indication 4air/fuel ratio 4exhaust gas concentration and EGR 4gas temperature 4analysis of highly

More information

LiDAR Teach-In OSRAM Licht AG June 20, 2018 Munich Light is OSRAM

LiDAR Teach-In OSRAM Licht AG June 20, 2018 Munich Light is OSRAM www.osram.com LiDAR Teach-In June 20, 2018 Munich Light is OSRAM Agenda Introduction Autonomous driving LIDAR technology deep-dive LiDAR@OS: Emitter technologies Outlook LiDAR Tech Teach-In June 20, 2018

More information

Physical Layer Switch

Physical Layer Switch Technology White Paper Physical Layer Switch Simultaneous Processing and Recording of High-speed Sensor Data Introduction The Sensor Application Environment Surveillance and intelligence gathering applications

More information

We Support the Automotive World to go Electric Inverter and E-Motor Integration and Validation

We Support the Automotive World to go Electric Inverter and E-Motor Integration and Validation We Support the Automotive World to go Electric Inverter and E-Motor Integration and Validation 28 June 2018 Inverter Motor Integration Process 2 SET Power Systems GmbH. All rights reserved Inverter Motor

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

A New Approach on Battery Management Systems

A New Approach on Battery Management Systems Keywords A New Approach on Battery Management Systems J Chatzakis, K Kalaitzakis, N C Voulgaris Technical University of Crete, Chania, Greece Tel: 302821037210, 302821037213, fax: 302821037530 e-mail:

More information

ASIC Design (7v81) Spring 2000

ASIC Design (7v81) Spring 2000 ASIC Design (7v81) Spring 2000 Lecture 1 (1/21/2000) General information General description We study the hardware structure, synthesis method, de methodology, and design flow from the application to ASIC

More information

Motor Tuning Instructions

Motor Tuning Instructions 6/20/12 Motor Tuning Instructions Before you begin tuning: 1. Make sure Pro-Motion is installed. 2. Hook up motor drive, motor, and computer. - Connect motor drive to computer using a USB to Serial Com

More information

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test David Cussans, AIDA Kick-Off, February 2011 Outline Exiting EUDET JRA1 TLU Aims for Mini-AIDA-TLU (mtlu) Specification Schedule Cost Aims for AIDA-TLU

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation 1 AVL SERIES BATTERY BENCHMARKING Getting from low level parameter to target orientation CONTENTS OVERVIEW 1. AVL Introduction 2. Focus Series Battery Benchmarking 3. Benchmarking process 4. Target comparability

More information

LLTek Introduces PowerBox Chip-Tuning Technology

LLTek Introduces PowerBox Chip-Tuning Technology LLTek Introduces PowerBox Chip-Tuning Technology Fast Do it Yourself Installation With Stealth Technology Applications: for gas turbo or supercharged cars for diesel, turbo diesel or supercharged diesel

More information

EFFECTIVE APPROACH TO ENHANCE THE SHOCK PERFORMANCE OF ULTRA-LARGE BGA COMPONENTS

EFFECTIVE APPROACH TO ENHANCE THE SHOCK PERFORMANCE OF ULTRA-LARGE BGA COMPONENTS As originally published in the SMTA Proceedings EFFECTIVE APPROACH TO ENHANCE THE SHOCK PERFORMANCE OF ULTRA-LARGE BGA COMPONENTS Weidong Xie, Mudasir Ahmad, Cherif Guirguis, Gnyaneshwar Ramakrishna, and

More information

Series 905-IV16(E) CAN/CANopen Input Modules Installation and Operating Manual

Series 905-IV16(E) CAN/CANopen Input Modules Installation and Operating Manual Series 905-IV16(E) CAN/CANopen Input Modules Installation and Operating Manual Model 905 IV16 DC Input Module. Page 2 Operations Manual Table of Contents Table of Contents...2 Module Installation Procedure...3

More information

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR Velimir Nedic Thomas A. Lipo Wisconsin Power Electronic Research Center University of Wisconsin Madison

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

* NOTE: Legal in California only for racing vehicles which may never be used upon a highway

* NOTE: Legal in California only for racing vehicles which may never be used upon a highway Read and understand these instructions BEFORE attempting to install this product. Failure to follow installation instructions and not using the provided hardware may damage the intake tube, throttle body

More information

Objectives / Expected Results

Objectives / Expected Results Objectives / Expected Results WP Leader: Dr. M. Moser, T. Moeller Cut operating, maintenance and deployment costs Develop systems, methods an processes for improved engine lifetime performance Reduction

More information

Proposal to establish a laboratory for combustion studies

Proposal to establish a laboratory for combustion studies Proposal to establish a laboratory for combustion studies Jayr de Amorim Filho Brazilian Bioethanol Science and Technology Laboratory SCRE Single Cylinder Research Engine Laboratory OUTLINE Requirements,

More information

PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION. Qing Xu 4/24/2010 MAAPT

PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION. Qing Xu 4/24/2010 MAAPT PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION Qing Xu 4/24/2010 MAAPT Cognitive Apprenticeship (3 types of coaching) Problem-solving Framework (Expert v.s. Novices) Minimize

More information

Protection of Power Electronic Multi Converter Systems in AC and DC Applications

Protection of Power Electronic Multi Converter Systems in AC and DC Applications Protection of Power Electronic Multi Converter Systems in AC and DC Applications Prof. Norbert Grass Technische Hochschule Nürnberg, Institute for Power Electronic Systems, Nuremberg, Germany, Norbert.Grass@th-nuernberg.de

More information

FULLY SYNCHRONOUS DESIGN By Serge Mathieu

FULLY SYNCHRONOUS DESIGN By Serge Mathieu 1- INTRODUCTION. By the end of my 30 years carreer in electronic design, I designed a few complex ASICS, like this high performance Powerline transceiver ASIC. See : http://www.arianecontrols.com/documents/ac-plm-1_user_manual.pdf

More information

A Process for Mapping Component Function to Mission Completion

A Process for Mapping Component Function to Mission Completion UNCLASSIFIED A Process for Mapping Component Function to Mission Completion 2010 Mar 02 1 UNCLASSIFIED Contact and Special Thanks Kevin Agan Mechanical Engineer ARL/SLAD (410) 278-4458 Kevin.Agan@arl.army.mil

More information

Piktronik d. o. o. Cesta k Tamu 17 SI 2000 Maribor, Slovenia Fax:

Piktronik d. o. o. Cesta k Tamu 17 SI 2000 Maribor, Slovenia Fax: PIK tr nik Phone: +386-2-460-2250 Piktronik d. o. o. Cesta k Tamu 17 SI 2000 Maribor, Slovenia Fax: +386-2-460-2255 e-mail: info@piktronik.com www.piktronik.com Sensorless AC motor control for traction

More information

A Presentation on. Human Computer Interaction (HMI) in autonomous vehicles for alerting driver during overtaking and lane changing

A Presentation on. Human Computer Interaction (HMI) in autonomous vehicles for alerting driver during overtaking and lane changing A Presentation on Human Computer Interaction (HMI) in autonomous vehicles for alerting driver during overtaking and lane changing Presented By: Abhishek Shriram Umachigi Department of Electrical Engineering

More information

Incorporating Real Time Computing in Data Center Power Networks

Incorporating Real Time Computing in Data Center Power Networks : Incorporating Real Time Computing in Data Center Power Networks Pittsboro, North Carolina, USA 3DFS.COM What Does Electricity Reveal About the Power Network? Nearly every device in a data center includes

More information

Signal Hardware-In-the-Loop simulation of a Hybrid locomotive

Signal Hardware-In-the-Loop simulation of a Hybrid locomotive International Workshop on HIL simulation HIL 16 summer school Lille, 1-2 September 216 http://l2ep.univ-lille1.fr/hil216/ Signal Hardware-In-the-Loop simulation of a Hybrid locomotive Dr. T. Letrouvé 1,3,

More information

Flexible Waveform Generation Accomplishes Safe Braking

Flexible Waveform Generation Accomplishes Safe Braking Flexible Waveform Generation Accomplishes Safe Braking Just as the antilock braking sytem (ABS) has become a critical safety feature in automotive vehicles, it perhaps is even more important in railway

More information

10GBASE-LRM over 300m of FDDI-grade Fiber

10GBASE-LRM over 300m of FDDI-grade Fiber GBASE-LRM over m of FDDI-grade Fiber Experimental & Simulation Results Jonathan King Sudeep Bhoja Ottawa Sept. 4 Big Bear Networks, Inc. Outline System Simulations Description of Model Results (CL & OSL)

More information

University Program Software Selection

University Program Software Selection Level One Conformal - GXL Conformal Constraint Design - L Conformal Constraint Design - XL CCD Multi-Constraint Check option Conformal Low Power - XL Conformal Low Power GXL Conformal ECO Designer Virtuoso

More information

The Compact Muon Solenoid Experiment. Conference Report. Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland

The Compact Muon Solenoid Experiment. Conference Report. Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland Available on CMS information server CMS CR -2016/330 The Compact Muon Solenoid Experiment Conference Report Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland 07 November 2016 (v2, 14 November 2016)

More information

SECTIONAL AND TILTING DOOR OPENER INSTALLATION INSTRUCTIONS AND USER GUIDE. Comfort 800E/1000E

SECTIONAL AND TILTING DOOR OPENER INSTALLATION INSTRUCTIONS AND USER GUIDE. Comfort 800E/1000E SECTIONAL AND TILTING DOOR OPENER INSTALLATION INSTRUCTIONS AND USER GUIDE Comfort 800E/1000E WARNING Please read the manual carefully before installation and use. The installation of your new door opener

More information

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management N.Indhumathi 1, Dr.S.Nirmala 2 PG Student [Applied Electronics], Dept. of ECE, Muthayammal Engineering College, Namakkal, Tamilnadu,

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection Purpose This document will provide an introduction to power supply cables and selecting a power cabling architecture for a QuickStick 100

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

Using Virtualization to Accelerate the Development of ADAS & Automated Driving Functions

Using Virtualization to Accelerate the Development of ADAS & Automated Driving Functions Using Virtualization to Accelerate the Development of ADAS & Automated Driving Functions GTC Europe 2017 Dominik Dörr 2 Motivation Virtual Prototypes Virtual Sensor Models CarMaker and NVIDIA DRIVE PX

More information

INCREASING ENERGY EFFICIENCY BY MODEL BASED DESIGN

INCREASING ENERGY EFFICIENCY BY MODEL BASED DESIGN INCREASING ENERGY EFFICIENCY BY MODEL BASED DESIGN GREGORY PINTE THE MATHWORKS CONFERENCE 2015 EINDHOVEN 23/06/2015 FLANDERS MAKE Strategic Research Center for the manufacturing industry Integrating the

More information

Final Report. James Buttice B.L.a.R.R. EEL 5666L Intelligent Machine Design Laboratory. Instructors: Dr. A Antonio Arroyo and Dr. Eric M.

Final Report. James Buttice B.L.a.R.R. EEL 5666L Intelligent Machine Design Laboratory. Instructors: Dr. A Antonio Arroyo and Dr. Eric M. Final Report James Buttice B.L.a.R.R. EEL 5666L Intelligent Machine Design Laboratory Instructors: Dr. A Antonio Arroyo and Dr. Eric M. Schwartz Teaching Assistants: Mike Pridgen and Thomas Vermeer Table

More information

IJSER. Divya.G Student / M.E Power electronics & drives St. Joseph s College Of Engineering Chennai, Tamil Nadu, India

IJSER. Divya.G Student / M.E Power electronics & drives St. Joseph s College Of Engineering Chennai, Tamil Nadu, India International Journal of Scientific & Engineering Research, Volume, Issue 4, April-214 136 Regenerative Braking Using Switched Reluctance Generator Divya.G Student / M.E Power electronics & drives St.

More information

MGL Avionics EFIS G2 and iefis

MGL Avionics EFIS G2 and iefis MGL Avionics EFIS G2 and iefis Guide to using the MGL RDAC CAN interface with the LAD AERO Injection Kit on ROTAX 912-912S General... 3 Data connections... 3 LAD AERO ROTAX 912 engine data from ECU...

More information

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE 1 GT-Suite Users International Conference Frankfurt a.m., October 30 th 2000 GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER CONTROL IN A MULTICYLINDER S.I. ENGINE F. MILLO, G. DE

More information

Digital Automatic. Accurate Measurement of On/Off Time for b/g WLAN/WiMAX LNAs LNA ON/OFF TIME. This article compares two

Digital Automatic. Accurate Measurement of On/Off Time for b/g WLAN/WiMAX LNAs LNA ON/OFF TIME. This article compares two From November 2009 High Frequency Electronics Copyright 2009 Summit Technical Media, LLC Accurate Measurement of On/Off Time for 802.11 b/g WLAN/WiMAX LNAs By Ahmad H. Abdelmajid RFMD, Inc. Digital Automatic

More information

Design Specification. DDR2 UDIMM Enhanced Performance Profiles

Design Specification. DDR2 UDIMM Enhanced Performance Profiles Design Specification DDR2 UDIMM Enhanced Performance Profiles Document Change History REV Date Reason for Change 01 Initial Release i Design Specification Table of Contents Chapter 1. Enhanced Performance

More information

Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications

Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications Department of Mechanical Engineering University of Minnesota Project Members : Mohd Azrin Mohd Zulkefli Pratik Mukherjee

More information

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek This paper describes work on the laboratory working stand for a hybrid-electric drive located in laboratory T2:H1-26.The basic idea

More information

HEAVY VEHICLE HARDWARE-IN-THE-LOOP CRASH AVOIDANCE SAFETY SYSTEM SIMULATION WITH EXPERIMENTAL VALIDATION

HEAVY VEHICLE HARDWARE-IN-THE-LOOP CRASH AVOIDANCE SAFETY SYSTEM SIMULATION WITH EXPERIMENTAL VALIDATION HEAVY VEHICLE HARDWARE-IN-THE-LOOP CRASH AVOIDANCE SAFETY SYSTEM SIMULATION WITH EXPERIMENTAL VALIDATION M. Kamel Salaani Transportation Research Center Inc. United States of America Devin H. Elsasser

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

ZT-USB Series User Manual

ZT-USB Series User Manual ZT-USB Series User Manual Warranty Warning Copyright All products manufactured by ICP DAS are under warranty regarding defective materials for a period of one year, beginning from the date of delivery

More information

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM 256-MBit Double Data Rata SDRAM Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR266A -7 DDR200-8 2 133 100 2.5 143 125 Double data rate architecture: two data transfers

More information

SIMULATING A CAR CRASH WITH A CAR SIMULATOR FOR THE PEOPLE WITH MOBILITY IMPAIRMENTS

SIMULATING A CAR CRASH WITH A CAR SIMULATOR FOR THE PEOPLE WITH MOBILITY IMPAIRMENTS International Journal of Modern Manufacturing Technologies ISSN 2067 3604, Vol. VI, No. 1 / 2014 SIMULATING A CAR CRASH WITH A CAR SIMULATOR FOR THE PEOPLE WITH MOBILITY IMPAIRMENTS Waclaw Banas 1, Krzysztof

More information

Generation of a pool of variable size symmetric keys through Image

Generation of a pool of variable size symmetric keys through Image Generation of a pool of variable size symmetric keys through Image Prerna Garg B.S.A.I.T.M. Faridabad Haryana, India prerna.it.mittal@gmail.com Deepak Garg Senior Software engineer Stryker Global Technology

More information

Installation Instructions for: Channel Thermocouple Amplifier

Installation Instructions for: Channel Thermocouple Amplifier Installation Instructions for: 30-2204 4 Channel Thermocouple Amplifier WARNING: This installation is not fo r the electrically or mechanically challenged! Use this sensor with EXTREME caution! If you

More information

APPLICATION NOTE. Short Form Description of the Atmel PEPS System. Atmel ATAN0073. Introduction. Features

APPLICATION NOTE. Short Form Description of the Atmel PEPS System. Atmel ATAN0073. Introduction. Features APPLICATION NOTE Short Form Description of the Atmel PEPS System Atmel ATAN0073 Introduction This document introduces the Atmel implementation of a complete passive entry/passive start (PEPS) system. The

More information

Differential Expansion Measurements on Large Steam Turbines

Differential Expansion Measurements on Large Steam Turbines Sensonics Technical Note DS1220 Differential Expansion Measurements on Large Steam Turbines One of the challenges facing instrumentation engineers in the power generation sector is the accurate measurement

More information

An Integrated Process for FDIR Design in Aerospace

An Integrated Process for FDIR Design in Aerospace An Integrated Process for FDIR Design in Aerospace Fondazione Bruno Kessler, Trento, Italy Benjamin Bittner, Marco Bozzano, Alessandro Cimatti, Marco Gario Thales Alenia Space,France Regis de Ferluc Thales

More information

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1 Five Cool Things You Can Do With Powertrain Blockset Mike Sasena, PhD Automotive Product Manager 2017 The MathWorks, Inc. 1 FTP75 Simulation 2 Powertrain Blockset Value Proposition Perform fuel economy

More information

TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY

TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY U.P.B. Sci. Bull., Series D, Vol. 77, Iss. 3, 2015 ISSN 1454-2358 TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY Claudiu BISU 1, Florian ISTRATE 2, Marin ANICA 3 Vibration

More information

Freescale Semiconductor, I

Freescale Semiconductor, I M68HC08 Microcontrollers 8-Bit Software Development Kit for Motor Control Targeting the MC68HC908MR32 SDKMR32UG/D Rev. 1, 11/2002 MOTOROLA.COM/SEMICONDUCTORS 8-Bit Software Development Kit for Motor Control

More information

10+ YEARS SPECIFIED BATTERY LIFE. Case study: Strips by. Optimizing power usage in IoT devices

10+ YEARS SPECIFIED BATTERY LIFE. Case study: Strips by. Optimizing power usage in IoT devices Case study: Strips by Published: September 2017 10+ YEARS SPECIFIED BATTERY LIFE Optimizing power usage in IoT devices In many modern battery operated systems, the expected battery life is dependent on

More information