ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

Size: px
Start display at page:

Download "ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem"

Transcription

1 ReCoSoC th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max Dutertre Michel Agoyan Bruno Robisson Assia Tria Département SAS Équipe mixte CEA-LETI/ENSMSE Site Georges Charpak Centre Microélectronique de Provence 880, route de Mimet Gardanne

2 Outline! Introduction. " Course Overview! Attacked Circuit : AES Cryptosystem. " Algorithm and design " Prototyping of AES on SPARTAN-3! Design and use of an FPGA-based attack platform. " Fault Injection Principle (digital IC timing constraints) " Experimental Results! Conclusion. 1 / 24

3 Course overview Master students in Microelectronics Design Cryptography Secured Circuits Application of academics courses VHDL Design Methodology FPGA Prototyping Two parts 128 bit AES design : Spartan 3 Cryptography Security of IC Injection fault platform : Virtex 5 2 / 24

4 Cryptography Why Cryptography? Confidentiality Authentification Tools for cryptography Secret key scheme Integrity Non repudiation Public/private keys scheme Hash function Cyphering Decyphering Applications Plain text Cyper text Plain text Credit card, mobile phone, pay TV, secured internet, etc. 3 / 24

5 AES cryptosystem Advanced Encryption Standard NIST 2001 Key length : 128 bits A good example for teaching IC design Data path and keyexpander synchronization Sbox modeling 4 / 24

6 Timing constraints AES Block diagram Nominal clock frequency : 100 MHz 11 clock cycles / ciphering Area Hash functions 20 S-boxes External control Clock pin Start signal 5 / 24

7 AES Test environment Xilinx Spartan 3 evaluation board Serial Link Simple control commands Automatic Test Generation (Perl) On the fly comparison of expected result (Open ssl' AES library) Why FPGA target? Education purposes Faster integration Easier fault injection due to long interconnection delays 6 / 24

8 Design and Use of an FPGA-based Attack Platform! Theoretical work. " Short overview of Differential Fault Attacks. " Digital IC timing constraints (as a fault injection means). " A Delay Locked Loop based attack platform.! Laboratory work. " Synthesis of the attack platform. " Experimental results. 7 / 24

9 Differential Fault Attack M K C Faulty cipher text Disturb the ciphering process through unusual environmental conditions. Differential Fault Attack = comparison between correct and faulty cipher texts retrieve information on the encryption process (i.e. information leakage) Strong requirements : control of the fault size (bit or byte level), target a given round (and only it). 8 / 24

10 Digital IC timing constraint " Synchronous IC principle (reminder) propagation delay n-1 m-1 data Combinational D Q logic D Q Dff i Dff i+1 clk Data are captured on the clock s rising edge Time between two rising edges (i.e. clock period) depends on the propagation delay 9 / 24

11 Digital IC timing constraint n m data Logique conbinatoire D Q D pmax D Q Dff i Dff i+1 clk D clk#q T clk + T skew - δ su data arrival time = D clk#q + D pmax data required time = T clk + T skew - δ su T clk > D clk!q + D pmax - T skew + δ su Violating this timing constraint results in fault injection. 10 / 24

12 Digital IC timing constraint Fault location - Propagation delay delay outputs = f (inputs) n Combinational logic D 0 D 1 D m-1 m f logical function each D i had its own propagation delay inputs outputs Fault location : where delay Di > Tclk setup time Propagation times depend on : the logical states ( 0 / 1 ) the propagation delay changes with the inputs allow to change the fault location the power supply voltage the temperature 11 / 24

13 Fault injection by setup time violation " Fault injection - Over clocking A well known approach decreasing the clock period until faults appear by setup time violation T clk clk propagation delay + setup time T clk fault clk drawback : faults are injected at each clock cycle no timing control 12 / 24

14 " Fault injection Local over clocking Setup time violation by modifying one clock cycle Fault injection by setup time violation T clk clk D pmax + δ su clk T clk - Δ fault injection cycle choice fault-nature fine tuning through Δ fine control (one-bit, two-bits faults) δ t variation step = 35 ps Experiment T clk = 10 ns MHz 13 / 24

15 " Fault injection Local over clocking (cont d) A DLL-based Attack Platform clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk Tclk - Δ 14 / 24

16 " Fault injection Local over clocking (cont d) A DLL-based Attack Platform clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk Δ/2 clk clk Tclk - Δ 14 / 24

17 " Fault injection Local over clocking (cont d) A DLL-based Attack Platform clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk clk Δ clk Tclk - Δ All digital, easy to implement. 14 / 24

18 Synthesis of the attack platform Δ = 0 15 / 24

19 Synthesis of the attack platform Δ = 20 x 35 ps 15 / 24

20 Synthesis of the attack platform Δ = 40 x 35 ps 15 / 24

21 Synthesis of the attack platform Δ = 60 x 35 ps 15 / 24

22 Synthesis of the attack platform Δ = 80 x 35 ps 15 / 24

23 Synthesis of the attack platform Δ = 100 x 35 ps 15 / 24

24 Fault injection experiments " Experimental setup COM serial trigger Clock generation board AES board COM serial clock 16 / 24

25 Fault injection experiments " Controllability of faults nature and location. Targeting the final round of the AES direct reading of the injected faults (by XORing a correct and faulty ciphertext) Test campaign pseudo-code : send the key K and the plaintext T to the test chip Δ 0 Note that faults are located in the encryption data path (longest propagation delay). 17 / 24

26 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 No Single 23 faulted bit bits fault D 6 D 7 T clk -Δ T clk = ps T clk -Δ 18 / 24

27 Experimental results Location control : plaintext variation Byte index ps 350ps 7340ps Byte nb. 13 No fault One-bit fault Two-bits fault Other fault Byte index Byte nb. 3 Same key Different plaintext 5485ps 7585ps 19 / 24

28 " Fault injection based on power supply decrease. (at nominal frequency) Experimental results V DD D pmax ( D clk!q, δ su, &T skew & ) T clk < D clk!q + D pmax - T skew + δ su D pmax + δ D pmax su + slack + δ su n inputs Logique Combinational logic D 0 D 1 D m-1 D 0 D 1 m m outputs outputs D m-1 T clk 20 / 24

29 " Fault injection based on power supply decrease. Critical time as a function of V DD Experimental results picoseconds T clk 1st fault at 1,07 V 21 / 24

30 Experimental results " Temperature increase (at nominal frequency) 22 / 24

31 Experimental results " Temperature increase (at nominal frequency) D pmax ( D clk!q, δ su, &T skew & ) 1st fault at 210 C 23 / 24

32 Conclusion " Conclusion An ambitious two in one course (Master or PhD students). Achievements: Design methodology on a concrete programmable device, Development of a complete test environment (serial interface, command scripts), Implementation of the AES standard, Review of timing constraints and critical path issues, Design of a DLL-based attack platform, Practice of fault attacks, Awareness of hardware security. FPGA : a well suited target. 24 / 24

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure Cardis 2010 The ninth Smart Card Research and Advanced Application IFIP Conference When Clocks Fail: On Critical Paths and Clock Faults Jean-Max Dutertre Michel Agoyan Bruno Robisson Assia Tria David Naccache

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Jakub Breier, Xiaolu Hou and Yang Liu 10 September 2018 1 / 25 Table of Contents 1 Background and Motivation 2 Overview

More information

Marwan Adas December 6, 2011

Marwan Adas December 6, 2011 Marwan Adas December 6, 2011 SPONGENT A Lighweight hash function SPONGENT = SPONGE + PRESENT + Unkeyed PRESENT- - - type permutation π: 4- bit S- box and bit diffusion Diagrams from www.spongent.com SPONGENT

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 127 CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 128 8.1. Introduction In a recent investigation, we have modified

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V3 PP 26-30 www.iosrjen.org (FPGA) based design for minimizing petrol spill from the pipe

More information

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB is a leader in power and automation technologies that enable utility and industry customers to improve

More information

Local Memory Bus (LMB) V10 (v1.00a)

Local Memory Bus (LMB) V10 (v1.00a) Local Memory Bus (LMB) V10 (v1.00a) DS445 December 2, 2009 Introduction The LMB V10 module is used as the LMB interconnect for Xilinx FPGA-based embedded processor systems. The LMB is a fast, local bus

More information

Overview. Battery Monitoring

Overview. Battery Monitoring Wireless Battery Management Systems Highlight Industry s Drive for Higher Reliability By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corporation Overview

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

Design Specification. DDR2 UDIMM Enhanced Performance Profiles

Design Specification. DDR2 UDIMM Enhanced Performance Profiles Design Specification DDR2 UDIMM Enhanced Performance Profiles Document Change History REV Date Reason for Change 01 Initial Release i Design Specification Table of Contents Chapter 1. Enhanced Performance

More information

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency : New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency Joanna Suresh, Product Manager, SICK, Inc. Agenda : Introduction : Selection of encoders - The

More information

PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION. Qing Xu 4/24/2010 MAAPT

PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION. Qing Xu 4/24/2010 MAAPT PROBLEM SOLVING COACHES IN PHYSICS TUTORING PART 2: DESIGN AND IMPLEMENTATION Qing Xu 4/24/2010 MAAPT Cognitive Apprenticeship (3 types of coaching) Problem-solving Framework (Expert v.s. Novices) Minimize

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Phil Barber CENEX Technical review 19 th May 2011 Overview of WS8 Workstream 8 was set up to

More information

How to generate the Sbox of Luffa

How to generate the Sbox of Luffa How to generate the Sbox of Luffa ESC2010@Remich (Jan.11.2010) Dai Watanabe SDL, Hitachi Luffa is a registered trademark of Hitachi, Ltd. 1 Outline Topic How to find an 4-bit sbox optimized for bit slice

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer mmax Power Management Chip Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer 1 Outline Design Goals and Challenges Power Management IC Design Maximum Power Point Tracking (MPPT) Implementation

More information

CS250 VLSI Systems Design

CS250 VLSI Systems Design CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16 What

More information

NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal

NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal MMC-HVDC Project Located in Zhoushan, Zhejiang Province, China, the Zhoushan MMC-HVDC

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

Fast Orbit Feedback (FOFB) at Diamond

Fast Orbit Feedback (FOFB) at Diamond Fast Orbit Feedback (FOFB) at Diamond Guenther Rehm, Head of Diagnostics Group 29/06/2007 FOFB at Diamond 1 Ground, Girder and Beam Motion 29/06/2007 FOFB at Diamond 2 Fast Feedback Design Philosophy Low

More information

SEED 128 Algorithm Specification

SEED 128 Algorithm Specification SEED 128 Algorithm Specification SEED 128 Algorithm Specification Abstract SEED is a 128-bit symmetric key block cipher that had been developed by KISA (Korea Information Security Agency) and a group of

More information

Ampl2m. Kamil Herman Author of Ampl2m conversion tool. Who are you looking at

Ampl2m. Kamil Herman Author of Ampl2m conversion tool. Who are you looking at Who are you looking at Kamil Herman Author of conversion tool Senior automation engineer Working in Automation with ABB control systems since 1995 6 years in ABB Slovakia 2 year working for ABB Mannheim,

More information

FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system

FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system HEPMAD'9 Conference, Antananarivo, 22-28 August 29 FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system H. Andrianiaina, Raoelina Andriambololona, J. Rajaobelison Madagascar-INSTN,

More information

Motor Tuning Instructions

Motor Tuning Instructions 6/20/12 Motor Tuning Instructions Before you begin tuning: 1. Make sure Pro-Motion is installed. 2. Hook up motor drive, motor, and computer. - Connect motor drive to computer using a USB to Serial Com

More information

QuickStick Repeatability Analysis

QuickStick Repeatability Analysis QuickStick Repeatability Analysis Purpose This application note presents the variables that can affect the repeatability of positioning using a QuickStick system. Introduction Repeatability and accuracy

More information

Grid-Friendly Utility-Scale PV Plants

Grid-Friendly Utility-Scale PV Plants White Paper Grid-Friendly Utility-Scale PV Plants Mahesh Morjaria & Dmitriy Anichkov, First Solar, Tempe, Arizona, USA 13 August 2013 Table of Contents Introduction.... 3 Power Plant Controller Architecture...

More information

STPA in Automotive Domain Advanced Tutorial

STPA in Automotive Domain Advanced Tutorial www.uni-stuttgart.de The Second European STAMP Workshop 2014 STPA in Automotive Domain Advanced Tutorial Asim Abdulkhaleq, Ph.D Student Institute of Software Technology University of Stuttgart, Germany

More information

Transforming the US Electric Grid

Transforming the US Electric Grid Driving economic growth, innovation, and workforce development Transforming the US Electric Grid Supply Network Demand Traditional Generation (Coal, Gas, Nuclear, Hydro) Solar Wind Biomass Energy Storage

More information

Slippage Detection and Traction Control System

Slippage Detection and Traction Control System Slippage Detection and Traction Control System May 10, 2004 Sponsors Dr. Edwin Odom U of I Mechanical Engineering Department Advisors Dr. Jim Frenzel Dr. Richard Wall Team Members Nick Carter Kellee Korpi

More information

Adaptive Cruise Control System Overview

Adaptive Cruise Control System Overview 5th Meeting of the U.S. Software System Safety Working Group April 12th-14th 2005 @ Anaheim, California USA 1 Introduction Adaptive Cruise System Overview Adaptive Cruise () is an automotive feature that

More information

Petrol Pipe Line Telemonitoring Design

Petrol Pipe Line Telemonitoring Design ISS : 2248-9622, Vol. 5, Issue 3, ( Part -4) March 2015, pp.39-43 RESEARCH ARTICLE OPE ACCESS Petrol Pipe Line Telemonitoring Design Hani mohammed moqbel saleh¹, Abdelrasoul Jabar Alzubaidi² 1 Sudan Academy

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

Vehicle Diagnostic Logging Device

Vehicle Diagnostic Logging Device UCCS SENIOR DESIGN Vehicle Diagnostic Logging Device Design Requirements Specification Prepared by Mackenzie Lowrance, Nick Hermanson, and Whitney Watson Sponsor: Tyson Hartshorn with New Planet Technologies

More information

Generation of a pool of variable size symmetric keys through Image

Generation of a pool of variable size symmetric keys through Image Generation of a pool of variable size symmetric keys through Image Prerna Garg B.S.A.I.T.M. Faridabad Haryana, India prerna.it.mittal@gmail.com Deepak Garg Senior Software engineer Stryker Global Technology

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Electrical Power Systems 2014/15

Electrical Power Systems 2014/15 www.hft-education.com 2014/15 Electrical Power Engineering is fundamental to maintaining and protecting global electricity supply and preventing catastrophic power failures. With the current investment

More information

A New Approach on Battery Management Systems

A New Approach on Battery Management Systems Keywords A New Approach on Battery Management Systems J Chatzakis, K Kalaitzakis, N C Voulgaris Technical University of Crete, Chania, Greece Tel: 302821037210, 302821037213, fax: 302821037530 e-mail:

More information

CS 250! VLSI System Design

CS 250! VLSI System Design CS 250! VLSI System Design Lecture 3 Timing 2014-9-4! Professor Jonathan Bachrach! slides by John Lazzaro TA: Colin Schmidt www-insteecsberkeleyedu/~cs250/ UC Regents Fall 2013/1014 UCB everything doesn

More information

EECS 461 Final Project: Adaptive Cruise Control

EECS 461 Final Project: Adaptive Cruise Control EECS 461 Final Project: Adaptive Cruise Control 1 Overview Many automobiles manufactured today include a cruise control feature that commands the car to travel at a desired speed set by the driver. In

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD R 0 XC95288 In-System Programmable CPLD 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up to 166 user pins 5V in-system

More information

Protection of Power Electronic Multi Converter Systems in AC and DC Applications

Protection of Power Electronic Multi Converter Systems in AC and DC Applications Protection of Power Electronic Multi Converter Systems in AC and DC Applications Prof. Norbert Grass Technische Hochschule Nürnberg, Institute for Power Electronic Systems, Nuremberg, Germany, Norbert.Grass@th-nuernberg.de

More information

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration National Aeronautics and Space Administration NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration Anne M. McNelis NASA Glenn Research Center Presentation

More information

Is Power State Table(PST) Golden?

Is Power State Table(PST) Golden? February 28 March 1, 2012 Is Power State Table(PST) Golden? By Ankush Bagotra, Neha Bajaj, Harsha Vardhan R&D Engineer, CAE, CAE Synopsys Inc. Overview Low Power Design Today Unified Power Format (UPF)

More information

RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES

RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES ABSTRACT The researches of the hydraulic system which consist of two straight pipelines

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test David Cussans, AIDA Kick-Off, February 2011 Outline Exiting EUDET JRA1 TLU Aims for Mini-AIDA-TLU (mtlu) Specification Schedule Cost Aims for AIDA-TLU

More information

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation A14-18 Active Balancing of Batteries - final demo Lauri Sorsa & Joonas Sainio Final demo presentation 06.12.2014 Active balancing project before in Aalto Respectable research was done before us. Unfortunately

More information

Spark. The open source ECU project

Spark. The open source ECU project Spark The open source ECU project Who We Are Originally established as a spin-off of University of Bologna, Alma Automotive represents the synergy between knowledge acquired in academic research activities

More information

ZC706 MIG Design Creation November 2015

ZC706 MIG Design Creation November 2015 ZC706 MIG Design Creation November 2015 XTP244 Revision History Date Version Description 11/24/15 14.0 Regenerated for 2015.4. 10/06/15 13.0 Regenerated for 2015.3. 06/30/15 12.0 Regenerated for 2015.2.

More information

AEM 4321 / EE4231 Automatic Control Systems

AEM 4321 / EE4231 Automatic Control Systems AEM 4321 / EE4231 Automatic Control Systems Course Overview 1/31 Outline Course Objectives Applications of Control Examples: Cruise Control and Aircraft Autopilots Terminology Block Diagrams Summary 2/31

More information

VCN-2000 VENOM Nitrous System

VCN-2000 VENOM Nitrous System VCN-2000 VENOM Nitrous System Installation/Operation Manual Software Installation Harness Installation Hose Installation COMPLETELY READ THIS MANUAL BEFORE STARTING INSTALLATION Copyright 2000 Python Injection,

More information

CHAPTER 4: EXPERIMENTAL WORK 4-1

CHAPTER 4: EXPERIMENTAL WORK 4-1 CHAPTER 4: EXPERIMENTAL WORK 4-1 EXPERIMENTAL WORK 4.1 Preamble 4-2 4.2 Test setup 4-2 4.2.1 Experimental setup 4-2 4.2.2 Instrumentation, control and data acquisition 4-4 4.3 Hydro-pneumatic spring characterisation

More information

Cybersecurity for e-mobility system in worldwide standardization. innogy SE Stephan Voit

Cybersecurity for e-mobility system in worldwide standardization. innogy SE Stephan Voit Cybersecurity for e-mobility system in worldwide standardization innogy SE Stephan Voit 2018-04-10 What is the meaning of Cybersecurity? Computer security, also known as cybersecurity or IT security, is

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

Design, Development and Testing of 3-phase Permanent Magnet Machines and their Converters

Design, Development and Testing of 3-phase Permanent Magnet Machines and their Converters Design, Development and Testing of 3-phase Permanent Magnet Machines and their Converters Funding Agency Sanctioned Amount Project Duration Project Status DeitY, under NaMPET-II initiatives. Rs. 103 Lakhs

More information

Electronic Load-Sensing for Tractors

Electronic Load-Sensing for Tractors Electronic Load-Sensing for Tractors Ulrich Lenzgeiger, Uwe Maier and Peter Schmuttermair Bosch Rexroth AG, Systems Engineering, Glockeraustr. 2, 89275 Elchingen, Germany E-Mail: ulrich.lenzgeiger@boschrexroth.de,

More information

QS 100 LSM Power Management

QS 100 LSM Power Management 990000717 Revision A Table of Contents Revision History...2 Overview...3 Soft Start not complete fault...3 Under voltage fault...4 Under voltage warning limit...5 Over voltage maximum limit...5 Over voltage

More information

A Wind Turbine Benchmark Model for a Fault Detection and Isolation Competition

A Wind Turbine Benchmark Model for a Fault Detection and Isolation Competition A Wind Turbine Benchmark Model for a Fault Detection and Isolation Competition Silvio Simani Department of Engineering, University of Ferrara Via Saragat 1E 44123 Ferrara (FE), ITALY Ph./Fax:+390532974844

More information

Engine Control Solutions

Engine Control Solutions ni.com Engine Control Solutions ni.com NI CONFIDENTIAL www.ni.com/enginecontrol Overview of IC Engine Electronics ni.com NI CONFIDENTIAL Engine Types 2-stroke/4-stroke Fuel Gasoline Diesel Natural Gas

More information

ZC706 MIG Design Creation November 2014

ZC706 MIG Design Creation November 2014 ZC706 MIG Design Creation November 2014 XTP244 Revision History Date Version Description 11/24/14 10.0 Regenerated for 2014.4. 10/08/14 9.0 Regenerated for 2014.3. 06/09/14 8.0 Regenerated for 2014.2.

More information

Automotive Curriculum

Automotive Curriculum Automotive Curriculum Degrees: Credential(s) AAS: Automotive Technology Tracks: Automotive Technician Track 69-72 Automotive Parts/Service Writer Track 61-64 Diploma: Automotive Technician 61-64 Automotive

More information

Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D.

Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D. Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D. Dave House Associate Professor of Mechanical Engineering and Electrical Engineering Department of Mechanical Engineering

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Arduino-based OBD-II Interface and Data Logger. CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011

Arduino-based OBD-II Interface and Data Logger. CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011 Arduino-based OBD-II Interface and Data Logger CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011 Arduino Hardware Automotive OBD ISO Interface Software Arduino Italy 2005

More information

Use of Microgrids and DERs for black start and islanding operation

Use of Microgrids and DERs for black start and islanding operation Use of Microgrids and DERs for black start and islanding operation João A. Peças Lopes, FIEEE May 14 17, 17 Wiesloch The MicroGrid Concept A Low Voltage distribution system with small modular generation

More information

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek This paper describes work on the laboratory working stand for a hybrid-electric drive located in laboratory T2:H1-26.The basic idea

More information

Formation Flying Experiments on the Orion-Emerald Mission. Introduction

Formation Flying Experiments on the Orion-Emerald Mission. Introduction Formation Flying Experiments on the Orion-Emerald Mission Philip Ferguson Jonathan P. How Space Systems Lab Massachusetts Institute of Technology Present updated Orion mission operations Goals & timelines

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Smart Grid What is it all about? Smart Grid Scenarios. Incorporation of Electric Vehicles. Vehicle-to-Grid Interface applying ISO/IEC 15118

Smart Grid What is it all about? Smart Grid Scenarios. Incorporation of Electric Vehicles. Vehicle-to-Grid Interface applying ISO/IEC 15118 Corporate Technology Security Considerations for the Electric Vehicle Charging Infrastructure Rainer Falk Siemens AG, CT RTC ITS : +49 89 636 51653 : rainer.falk@siemens.com Steffen Fries Siemens AG, CT

More information

The integration of traction equipment into a vehicle computer network

The integration of traction equipment into a vehicle computer network Urban Transport XXI 391 The integration of traction equipment into a vehicle computer network V. Rădulescu, I. Străinescu, E. Tudor, F. Bozaș, A. Dascălu & D. Brăslașu ICPE SAERP SA, Romania Abstract The

More information

Module Leader: Prof.Ashok Meti

Module Leader: Prof.Ashok Meti AEL2501 Modern Automotive Systems Common for: AEL, AE and APD courses Module Leader: Prof.Ashok Meti 1 Module Aims and Summary The aim of this module is to prepare the students to critically evaluate the

More information

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE 1 GT-Suite Users International Conference Frankfurt a.m., October 30 th 2000 GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER CONTROL IN A MULTICYLINDER S.I. ENGINE F. MILLO, G. DE

More information

Laboratory Infrastructure

Laboratory Infrastructure www.smartrue.gr Laboratory Infrastructure Laboratory Infrastructure Single-phase Microgrid Solar o 11x110Wp monocrystaline PV panels o Inverter SMA Sunny Boy 1100E 1.1kW Wind o WHISPER Wind Generator o

More information

MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N

MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N MSD: Case Studies D R. T A R E K A. T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y, J O R D A N 2 0 1 4 Outline Elements and design of mechatronic systems have been described in previous sections

More information

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications 8Mbit to 256MBit HyperMemory SRAM and FIFO Features Super high-speed Static-Memory Can be configured as a standalone FIFO Supports multiple IO Standards (HSTL, SSTL, LVCMOS/ LVTTL) Access time as low as

More information

Advantage Memory Corporation reserves the right to change products and specifications without notice

Advantage Memory Corporation reserves the right to change products and specifications without notice SD872-8X8-72VS4 SDRAM DIMM 8MX72 SDRAM DIMM with ECC based on 8MX8, 4B, 4K Refresh, 3.3V DRAMs with SPD GENERAL DESCRIPTION The Advantage SD872-8X8-72VS4 is a 8MX72 Synchronous Dynamic RAM high-density

More information

1. Historical background of I2C I2C from a hardware perspective Bus Architecture The Basic I2C Protocol...

1. Historical background of I2C I2C from a hardware perspective Bus Architecture The Basic I2C Protocol... Table of contents CONTENTS 1. Historical background of I2C... 16 2. I2C from a hardware perspective... 18 3. Bus Architecture... 22 3.1. Basic Terminology... 23 4. The Basic I2C Protocol... 24 4.1. Flowchart...

More information

AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES

AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES 25 TH INTERNATIONAL CONGRESS OF THE AERONAUTICAL SCIENCES AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES Jean-Yves ROUTEX HISPANO-SUIZA, SAFRAN GROUP Keywords: Fuel, pumping,

More information

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM 256-MBit Double Data Rata SDRAM Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR266A -7 DDR200-8 2 133 100 2.5 143 125 Double data rate architecture: two data transfers

More information

Local Control Network - building controls in perfection

Local Control Network - building controls in perfection 2 1 F3,15A250V 2 1 1 2 F3,15A250V Installation guide Local Control Network - building controls in perfection Sensor/actuator module for DIN-rail mounting The is a sensor/actuator module for building installation

More information

Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box)

Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box) Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box) T.K.Sivakumar Research Scholar, Research and Development Centre, Bharathiar University, Coimbatore 641

More information

Program of Instruction Course Syllabus

Program of Instruction Course Syllabus Program of Instruction Course Syllabus Course Title: S-130 Basic Wildland Firefighter Training Course : 35 hours Program: Wildland Firefighting Course Prerequisites: S-190 Introduction to Wildland Fire

More information

RS232. CAN. Integration with Tachograph Continental VDO DTCO

RS232. CAN. Integration with Tachograph Continental VDO DTCO RS232. CAN. Integration with Tachograph Continental VDO DTCO User Manual www.galileosky.com Contents Necessary Tools, Equipment and Materials... 3 General Information... 4 Connecting tachograph to the

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 SPEED SYNCHRONIZATION

More information