Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure

Size: px
Start display at page:

Download "Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure"

Transcription

1 Cardis 2010 The ninth Smart Card Research and Advanced Application IFIP Conference When Clocks Fail: On Critical Paths and Clock Faults Jean-Max Dutertre Michel Agoyan Bruno Robisson Assia Tria David Naccache Ecole Normale Supérieure Département SAS Équipe mixte CEA-LETI/ENSMSE Site Georges Charpak Centre Microélectronique de Provence 880, route de Mimet Gardanne Département d Informatique Équipe de cryptographie 45, rue d Ulm Paris

2 Introduction! Fault attacks M K C Faulty cipher text Disturb the ciphering process through unusual environmental conditions in order to : reduce the ciphering complexity (e.g. round reduction number) Differential Fault Attack = comparison between correct and faulty cipher texts retrieve information on the encryption process (i.e. information leakage) 2 / 22

3 Introduction! Fault injection means: EM pulse Vcc Over clocking Clk glitch 0 Power glitch Component preparation (opening, thinning, etc.) Source : [Skorobogatov02] 3 / 22

4 Outline " Focus:! Clock modification. Well known. Emphasis on the ability to control the fault injection process : Single bit Confidence, Reproducibility Location control Ease of use 4 / 22

5 Outline " Outline! Why clock faults occur? Fault injection principle. An original and all digital injection platform.! Experimental results. The test chip (AES). Controllability of faults nature and location. Giraud s one-bit attack.! Conclusion and perspectives. 5 / 22

6 Why Clock Faults Occur?! Synchronous IC principle (reminder) propagation delay n-1 m-1 data Combinational D Q logic D Q Dff i Dff i+1 clk Data are captured on the clock s rising edge Time between two rising edges (i.e. clock period) depends on the propagation delay 6 / 22

7 Why Clock Faults Occur? n-1 m-1 data clk Combinational D Q logic D pmax D Q Dff i Dff i+1 D clk#q T clk + T skew - δ su Timing constraint: T clk > D clk!q + D pmax - T skew + δ su Violating this timing constraint results in fault injection. 7 / 22

8 Why Clock Faults Occur? Fault location - Propagation delay delay outputs = f (inputs) n Combinational logic D 0 D 1 D m-1 m f logical function each D i had its own propagation delay inputs outputs Fault location : where delay Di > Tclk setup time Propagation times depend on : the logical states ( 0 / 1 ) the propagation delay changes with the inputs allow to change the fault location the power supply voltage the temperature 8 / 22

9 Fault injection by setup time violation! Fault injection - Over clocking A well known approach decreasing the clock period until faults appear by setup time violation T clk clk propagation delay + setup time T clk fault clk drawback : faults are injected at each clock cycle no timing control 9 / 22

10 ! Fault injection Local over clocking Setup time violation by modifying one clock cycle Fault injection by setup time violation T clk clk T clk - Δ clk fault injection cycle choice fault-nature fine tuning through Δ fine control (one-bit, two-bits faults) δ t variation step = 35 ps Experiment T clk = 10 ns MHz 10 / 22

11 ! Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk Tclk - Δ 11 / 22

12 ! Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk Δ/2 clk clk Tclk - Δ 11 / 22

13 ! Fault injection Local over clocking (cont d) Fault injection by setup time violation clk generation : use of an on chip Delay Locked Loop (Xilinx Virtex-5). Tclk clk clk clk Δ clk Tclk - Δ All digital, easy to implement. 11 / 22

14 Experimental results! Experimental setup COM serial trigger Clock generation board AES board COM serial clock 12 / 22

15 Experimental results Δ = 0 13 / 22

16 Experimental results Δ = 20 x 35 ps 13 / 22

17 Experimental results Δ = 40 x 35 ps 13 / 22

18 Experimental results Δ = 60 x 35 ps 13 / 22

19 Experimental results Δ = 80 x 35 ps 13 / 22

20 Experimental results Δ = 100 x 35 ps 13 / 22

21 The test chip! AES 128 bits (Rijndael / FIPS - 197) Round key Plain text 128 Mux AddRoundKey 128 Cipher text 128 Round nb 128 MixColumns 128 ShiftRows 128 SubBytes Round nb clk 128 bits data path clocked on SubBytes inputs loop shape critical path location 14 / 22

22 Experimental results! Controllability of faults nature and location. Targeting the final round of the AES direct reading of the injected faults (by XORing a correct and faulty ciphertext) Test campaign pseudo-code : send the key K and the plaintext T to the test chip Δ 0 15 / 22

23 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 No fault D 6 D 7 T clk = ps 16 / 22

24 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 No fault D 6 D 7 T clk -Δ 16 / 22

25 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 No fault D 6 D 7 T clk -Δ 16 / 22

26 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 No fault D 6 D 7 T clk -Δ 16 / 22

27 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 Single bit fault D 6 D 7 T clk -Δ 16 / 22

28 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 2 faulted bits D 6 D 7 T clk -Δ 16 / 22

29 Target : final round (f clk, nom = 100 MHz) Step by step T clk decrease (δ t = 35 ps) Experimental results Byte index ps T clk - Δ 350ps 7340ps No fault One-bit fault Two-bits fault Other fault Byte nb. 6 D 0 D 1 D 2 D 3 D 4 D 5 3 faulted bits D 6 D 7 T clk -Δ 16 / 22

30 Experimental results Fault nature control : Single bit fault > 90% Single and two bits fault sequence > 70% Single, two, and three bits sequence > 50% high resolution step allows a fine control on the nature of the fault (with a high degree of confidence). 17 / 22

31 Experimental results Location control : plaintext variation Byte index ps 350ps 7340ps Byte nb. 13 No fault One-bit fault Two-bits fault Other fault Byte index Byte nb. 3 Same key Different plaintext 5485ps 7585ps 18 / 22

32 Giraud s one-bit attack 9th round M9 M9 e SR o SB SR o SB SB(M9) SB(M9 e) Final round ARK K10 ARK SB(M9) K10 SB(M9 e) K10 Ciphertext C Ciphertext D C D = SB(M9) SB(M9 e) Solving provides a set of candidates for M9 19 / 22

33 Giraud s one-bit attack Considering : K10 = C SB(M9) We obtain a set of candidates for K10 : s 1 s 3 AC FF 21 0B 3A E DC s 2 : multi bits fault s 4 The set size is decreased iteratively (same key different plaintext) The whole round key was always found. 20 / 22

34 Conclusion! An original and all digital fault injection platform : Fine fault nature control (1-bit fault or more), Very good timing control (choice of the injection cycle), Low location control (plaintext variation), Easy to use and implement (all digital), Require a clock access. A security characterization tool more than an attack platform.! Experimental validation : Giraud s one bit attack, Successful extension to two bits version. 21 / 22

35 Perspectives! A tool for IC and security characterization Number of occurrence Critical time is given for 1-bit faults Critical time (ps) Repeat algorithm times For each 1-bit fault -> retrieve Δ (i.e. critical time) for T, K random -> retrieve error (fault location) 22 / 22

36 Eli Biham and Adi Shamir, Differential fault analysis of secret key cryptosystems, In CRYPTO 97: Proceedings of the 17th Annual International Cryptology Conference on Advances in Cryptology, Lecture Notes in Computer Science, pages Springer, Dan Boneth, Richard A. DeMillo, and Lipton Richard J. On the importance of checking cryptographic protocols for faults. In Advances in Cryptology EUROCRYPT 97, Lecture Notes in Computer Science, pages Springer, Hamid Choukri and Michael Tunstall. Round reduction using faults. Proc. Second Int l Workshop Fault Diagnosis and Tolerance in Cryptography (FDTC 05), J. Daemen and V. Rijmen. Aes proposal: Rijndael, Pierre Dusart, Gilles Letourneux, and Olivier Vivolo. Differential fault analysis on aes. In ACNS: applied cryptography and network security, volume 2846 of Lecture Notes in Computer Science, pages Springer, Toshinori Fukunaga and Junko Takahashi. Practical fault attack on a cryptographic lsi with iso/iec block ciphers. In Proc. of the 2009 Workshop on Fault Diagnosis and Tolerance in Cryptography, FDTC09, pages 84 92, Christophe Giraud. DFA on aes. In H. Dobbertin, V. Rijmen, and A. Sowa, editors, Advanced Encryption Standard AES, volume 3373 of Lecture Notes in Computer Science, pages Springer, Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Nidhal Selmane, and Renaud Pacalet. Silicon-level solutions to counteract passive and active attacks. In FDTC 08: Proceedings of the th Workshop on Fault Diagnosis and Tolerance in Cryptography, pages 3 17, H. Choukri, H. Bar-El, D. Naccache, M. Tunstall, C. Whelan. The sorcerer s apprentice guide to fault attacks. In Special Issue on Cryptography and Security 94(2), pages , Michael Hutter Jrn-Marc Schmidt. Optical and em fault-attacks on crt-based rsa: Concrete results. In Proceedings of the 15th Austrian Workhop on Microelectronics, NIST. Announcing the Advanced Encryption Standard (AES). Federal Information Processing Standards Publication, n. 197, November 26, Gilles Piret and Jean-Jacques Quisquater. A differential fault attack technique against spn structures, with application to the aes and khazad. In Proc. Cryptographic Hardware and Embedded Systems (CHES 03),, Lecture Notes in Computer Science, pages 77 88, JJ Quisquater and D Samyde. Eddy current for magnetic analysis with active sensor. In Proceedings of ESmart 2002, page pp Eurosmart, Bruno Robisson and Pascal Manet. Differential behavioral analysis. In Cryptographic Hardware and Embedded Systems, volume 4727 of Lecture Notes in Computer Science, pages Springer, Nidhal Selmane, Sylvain Guilley, and Jean-Luc Danger. Practical setup time violation attacks on aes. In EDCC-7 08: Proceedings of the 2008 Seventh European Dependable Computing Conference, pages 91 96, Sergei P. Skorobogatov and Ross J. Anderson. Optical fault induction attacks. In B.S. Kaliski Jr., C.K. Ko c, and C. Paar, editors, Cryptographic Hardware and Embedded Systems CHES 2002, volume 2523 of Lecture Notes in Computer Science, pages Springer, Sung-Ming Yen and Marc Joye. Checking before output may not be enough against fault-based cryptanalysis. IEEE Transactions on Computers, 49: , Bernhard Fechner, Dynamic delay-fault injection for reconfigurable hardware, Proceedings of the 19th International Parallel and Distributed Processing Symposium 2005.

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Jakub Breier, Xiaolu Hou and Yang Liu 10 September 2018 1 / 25 Table of Contents 1 Background and Motivation 2 Overview

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

How to generate the Sbox of Luffa

How to generate the Sbox of Luffa How to generate the Sbox of Luffa ESC2010@Remich (Jan.11.2010) Dai Watanabe SDL, Hitachi Luffa is a registered trademark of Hitachi, Ltd. 1 Outline Topic How to find an 4-bit sbox optimized for bit slice

More information

Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box)

Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box) Enhanced Secure Data Encryption Standard (ES-DES) Algorithm Using Extended Substitution Box (S-Box) T.K.Sivakumar Research Scholar, Research and Development Centre, Bharathiar University, Coimbatore 641

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Generation of a pool of variable size symmetric keys through Image

Generation of a pool of variable size symmetric keys through Image Generation of a pool of variable size symmetric keys through Image Prerna Garg B.S.A.I.T.M. Faridabad Haryana, India prerna.it.mittal@gmail.com Deepak Garg Senior Software engineer Stryker Global Technology

More information

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 127 CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 128 8.1. Introduction In a recent investigation, we have modified

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

A New Approach on Battery Management Systems

A New Approach on Battery Management Systems Keywords A New Approach on Battery Management Systems J Chatzakis, K Kalaitzakis, N C Voulgaris Technical University of Crete, Chania, Greece Tel: 302821037210, 302821037213, fax: 302821037530 e-mail:

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

Overview. Battery Monitoring

Overview. Battery Monitoring Wireless Battery Management Systems Highlight Industry s Drive for Higher Reliability By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corporation Overview

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB is a leader in power and automation technologies that enable utility and industry customers to improve

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM 2011 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM MODELING & SIMULATION, TESTING AND VALIDATION (MSTV) MINI-SYMPOSIUM AUGUST 9-11 DEARBORN, MICHIGAN INTELLIGENT ENERGY MANAGEMENT IN

More information

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR Velimir Nedic Thomas A. Lipo Wisconsin Power Electronic Research Center University of Wisconsin Madison

More information

Marwan Adas December 6, 2011

Marwan Adas December 6, 2011 Marwan Adas December 6, 2011 SPONGENT A Lighweight hash function SPONGENT = SPONGE + PRESENT + Unkeyed PRESENT- - - type permutation π: 4- bit S- box and bit diffusion Diagrams from www.spongent.com SPONGENT

More information

SPEED AND TORQUE CONTROL OF AN INDUCTION MOTOR WITH ANN BASED DTC

SPEED AND TORQUE CONTROL OF AN INDUCTION MOTOR WITH ANN BASED DTC SPEED AND TORQUE CONTROL OF AN INDUCTION MOTOR WITH ANN BASED DTC Fatih Korkmaz Department of Electric-Electronic Engineering, Çankırı Karatekin University, Uluyazı Kampüsü, Çankırı, Turkey ABSTRACT Due

More information

Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks

Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks CREaTION Workshop Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks Luís M. Borges Fernando J. Velez 2005, it - instituto de telecomunicações.

More information

Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K

Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K Advisor: Prof. Vinod John Department of Electrical Engineering, Indian Institute of Science,

More information

Wireless Power Transfer at VEDECOM. François COLET, Mustapha DEBBOU 77 Rue des Chantiers, Versailles, France

Wireless Power Transfer at VEDECOM. François COLET, Mustapha DEBBOU 77 Rue des Chantiers, Versailles, France Wireless Power Transfer at VEDECOM François COLET, Mustapha DEBBOU 77 Rue des Chantiers, 78000 Versailles, France version 09/06/2016 OUTLINE 2 I. Introduction II. Wireless Power Transfer Charging system

More information

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries R1-6 SASIMI 2015 Proceedings A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries Naoki Kawarabayashi, Lei Lin, Ryu Ishizaki and Masahiro Fukui Graduate School of

More information

MODELING OF RIGID AND ELASTIC STRUCTURES IN ACTIVE MAGNETIC BEARINGS

MODELING OF RIGID AND ELASTIC STRUCTURES IN ACTIVE MAGNETIC BEARINGS MODELING OF IGID AND ELASTIC STUCTUES IN ACTIVE MAGNETIC BEAINGS. Čermák University of West Bohemia, Department of Machine Design Abstract Active magnetic bearings (AMB) are very often presented as a progressive

More information

An Experimental System for Battery Management Algorithm Development

An Experimental System for Battery Management Algorithm Development An Experimental System for Battery Management Algorithm evelopment Jonas Hellgren, Lei Feng, Björn Andersson and Ricard Blanc Volvo Technology, Göteborg, Sweden E-mail: {jonas.hellgren, lei.feng, bjorn.bj.andersson,

More information

Performance Analysis of Transmission Line system under Unsymmetrical Faults with UPFC

Performance Analysis of Transmission Line system under Unsymmetrical Faults with UPFC Int. J. of P. & Life Sci. (Special Issue Engg. Tech.) Performance Analysis of Transmission Line system under Unsymmetrical Faults with UPFC Durgesh Kumar and Sonora ME Scholar Department of Electrical

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. An Insight into Active Balancing for Lithium-Ion Batteries

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. An Insight into Active Balancing for Lithium-Ion Batteries European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany An Insight into Active Balancing for Lithium-Ion Batteries Federico

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

Driving Performance Improvement of Independently Operated Electric Vehicle

Driving Performance Improvement of Independently Operated Electric Vehicle EVS27 Barcelona, Spain, November 17-20, 2013 Driving Performance Improvement of Independently Operated Electric Vehicle Jinhyun Park 1, Hyeonwoo Song 1, Yongkwan Lee 1, Sung-Ho Hwang 1 1 School of Mechanical

More information

The Latest Sensor Trends

The Latest Sensor Trends Sensing & Feedback Technologies The Latest Sensor Trends Agenda Miniature sensors open up new applications Alternatives to Fiber optics Pneumatic cylinder sensing: Dual systems, analog and lifetime warranties

More information

2013 Grid of the Future Symposium. Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited Plant

2013 Grid of the Future Symposium. Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited Plant 21, rue d Artois, F-75008 PARIS CIGRE US National Committee http : //www.cigre.org 2013 Grid of the Future Symposium Utilizing Single Phase Operation Scheme on Untransposed 765kV lines for a Stability-Limited

More information

Smart Testing of Smart Charging

Smart Testing of Smart Charging Smart Testing of Smart Charging Consistent Test Case Coverage for Electric Mobility With the increasing diversity of electric vehicles and charging station systems, interoperability between components

More information

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency : New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency Joanna Suresh, Product Manager, SICK, Inc. Agenda : Introduction : Selection of encoders - The

More information

VEHICLE THEFT/SECURITY SYSTEMS

VEHICLE THEFT/SECURITY SYSTEMS WJ VEHICLE THEFT/SECURITY SYSTEMS 8Q - 1 VEHICLE THEFT/SECURITY SYSTEMS CONTENTS... 6 VEHICLE THEFT SECURITY SYSTEM... 1 VEHICLE THEFT SECURITY SYSTEM INDEX AND DOOR AJAR SWITCH... 3 DRIVER CYLINDER LOCK

More information

Design and Experimental Study on Digital Speed Control System of a Diesel Generator

Design and Experimental Study on Digital Speed Control System of a Diesel Generator Research Journal of Applied Sciences, Engineering and Technology 6(14): 2584-2588, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: December 28, 2012 Accepted: February

More information

Optimal Decentralized Protocol for Electrical Vehicle Charging. Presented by: Ran Zhang Supervisor: Prof. Sherman(Xuemin) Shen, Prof.

Optimal Decentralized Protocol for Electrical Vehicle Charging. Presented by: Ran Zhang Supervisor: Prof. Sherman(Xuemin) Shen, Prof. Optimal Decentralized Protocol for Electrical Vehicle Charging Presented by: Ran Zhang Supervisor: Prof. Sherman(Xuemin) Shen, Prof. Liang-liang Xie Main Reference Lingwen Gan, Ufuk Topcu, and Steven Low,

More information

Maximizing the Power Efficiency of Integrated High-Voltage Generators

Maximizing the Power Efficiency of Integrated High-Voltage Generators Maximizing the Power Efficiency of Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes how the power efficiency of fully integrated Dickson charge pumps in high- IC technologies

More information

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Phil Barber CENEX Technical review 19 th May 2011 Overview of WS8 Workstream 8 was set up to

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY [Sarvi, 1(9): Nov., 2012] ISSN: 2277-9655 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A Sliding Mode Controller for DC/DC Converters. Mohammad Sarvi 2, Iman Soltani *1, NafisehNamazypour

More information

INTEGRATED SCHEDULING OF DRAYAGE AND LONG-HAUL TRANSPORT

INTEGRATED SCHEDULING OF DRAYAGE AND LONG-HAUL TRANSPORT INTEGRATED SCHEDULING OF DRAYAGE AND LONG-HAUL TRANSPORT Arturo E. Pérez Rivera & Martijn R.K. Mes Department of Industrial Engineering and Business Information Systems University of Twente, The Netherlands

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 SPEED SYNCHRONIZATION

More information

Clement A. Skalski, Ph.D., P.E.

Clement A. Skalski, Ph.D., P.E. page 1 of 5 skalskic@comcast.net 860-673-7909 (Connecticut) 941-375-2975 (Florida) 860-402-8149 (cell) EXPERTISE! Elevators! Control Systems, Transducers, and Actuators.! Induction and PM Synchronous Motors,

More information

Model-Based Development

Model-Based Development MODPROD Workshop 2014 Model-Based Development Examples of how Optimal Control can Support Design and Evaluation Lars Eriksson lars.eriksson@liu.se Division of Vehicular Systems Department of Electrical

More information

Robust Electronic Differential Controller for an Electric Vehicle

Robust Electronic Differential Controller for an Electric Vehicle American Journal of Applied Sciences 10 (11): 1356-1362, 2013 ISSN: 1546-9239 2013 Ravi and Palan, This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.1356.1362

More information

International Journal of Advance Research in Engineering, Science & Technology

International Journal of Advance Research in Engineering, Science & Technology Impact Factor (SJIF): 4.542 International Journal of Advance Research in Engineering, Science & Technology e-issn: 2393-9877, p-issn: 2394-2444 Volume 4, Issue 4, April-2017 Simulation and Analysis for

More information

Enhancement of Power Quality in Transmission Line Using Flexible Ac Transmission System

Enhancement of Power Quality in Transmission Line Using Flexible Ac Transmission System Enhancement of Power Quality in Transmission Line Using Flexible Ac Transmission System Raju Pandey, A. K. Kori Abstract FACTS devices can be added to power transmission and distribution systems at appropriate

More information

Protection of Power Electronic Multi Converter Systems in AC and DC Applications

Protection of Power Electronic Multi Converter Systems in AC and DC Applications Protection of Power Electronic Multi Converter Systems in AC and DC Applications Prof. Norbert Grass Technische Hochschule Nürnberg, Institute for Power Electronic Systems, Nuremberg, Germany, Norbert.Grass@th-nuernberg.de

More information

Transforming the US Electric Grid

Transforming the US Electric Grid Driving economic growth, innovation, and workforce development Transforming the US Electric Grid Supply Network Demand Traditional Generation (Coal, Gas, Nuclear, Hydro) Solar Wind Biomass Energy Storage

More information

Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications

Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications Hardware-In-the-Loop (HIL) Testbed for Evaluating Connected Vehicle Applications Department of Mechanical Engineering University of Minnesota Project Members : Mohd Azrin Mohd Zulkefli Pratik Mukherjee

More information

Algebraic Integer Encoding and Applications in Discrete Cosine Transform

Algebraic Integer Encoding and Applications in Discrete Cosine Transform RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Algebraic Integer Encoding and Applications in Discrete Cosine Transform Minyi Fu Supervisors: Dr. G. A. Jullien Dr. M. Ahmadi Department

More information

Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC

Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC Arno Ebner Martin Ganchev Helmut Oberguggenberger Franz Pirker Arsenal Research Giefinggasse 2 1210 Vienna Austria arno.ebner@arsenal.ac.at

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

T10/06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION function.

T10/06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION function. To: T10 Technical Committee From: Tim Symons, PMC-Sierra (Tim_Symons@pmc-sierra.com) Date: 28 August 2006 Subject: 06-202r7 SAS-2 SMP CONFIGURE ZONE PERMISSION functions Revision Information Revision 0:

More information

AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES

AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES 25 TH INTERNATIONAL CONGRESS OF THE AERONAUTICAL SCIENCES AN ELECTRICAL FUEL PUMPING AND METERING SYSTEM FOR MORE ELECTRICAL AERO-ENGINES Jean-Yves ROUTEX HISPANO-SUIZA, SAFRAN GROUP Keywords: Fuel, pumping,

More information

Intelligent Power Management of Electric Vehicle with Li-Ion Battery Sheng Chen 1,a, Chih-Chen Chen 2,b

Intelligent Power Management of Electric Vehicle with Li-Ion Battery Sheng Chen 1,a, Chih-Chen Chen 2,b Applied Mechanics and Materials Vols. 300-301 (2013) pp 1558-1561 Online available since 2013/Feb/13 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.300-301.1558

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation A14-18 Active Balancing of Batteries - final demo Lauri Sorsa & Joonas Sainio Final demo presentation 06.12.2014 Active balancing project before in Aalto Respectable research was done before us. Unfortunately

More information

Arduino-based OBD-II Interface and Data Logger. CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011

Arduino-based OBD-II Interface and Data Logger. CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011 Arduino-based OBD-II Interface and Data Logger CS 497 Independent Study Ryan Miller Advisor: Prof. Douglas Comer April 26, 2011 Arduino Hardware Automotive OBD ISO Interface Software Arduino Italy 2005

More information

ENHANCEMENT OF ROTOR ANGLE STABILITY OF POWER SYSTEM BY CONTROLLING RSC OF DFIG

ENHANCEMENT OF ROTOR ANGLE STABILITY OF POWER SYSTEM BY CONTROLLING RSC OF DFIG ENHANCEMENT OF ROTOR ANGLE STABILITY OF POWER SYSTEM BY CONTROLLING RSC OF DFIG C.Nikhitha 1, C.Prasanth Sai 2, Dr.M.Vijaya Kumar 3 1 PG Student, Department of EEE, JNTUCE Anantapur, Andhra Pradesh, India.

More information

EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack

EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack The Southeastern Michigan IEEE EMC Society EMC System Engineering of the Hybrid Vehicle Electric Motor and Battery Pack Presented by: James Muccioli Authors: James Muccioli & Dale Sanders Jastech EMC Consulting,

More information

EXPERIMENTAL STUDY OF DYNAMIC THERMAL BEHAVIOUR OF AN 11 KV DISTRIBUTION TRANSFORMER

EXPERIMENTAL STUDY OF DYNAMIC THERMAL BEHAVIOUR OF AN 11 KV DISTRIBUTION TRANSFORMER Paper 110 EXPERIMENTAL STUDY OF DYNAMIC THERMAL BEHAVIOUR OF AN 11 KV DISTRIBUTION TRANSFORMER Rafael VILLARROEL Qiang LIU Zhongdong WANG The University of Manchester - UK The University of Manchester

More information

Automatic Braking and Control for New Generation Vehicles

Automatic Braking and Control for New Generation Vehicles Automatic Braking and Control for New Generation Vehicles Absal Nabi Assistant Professor,EEE Department Ilahia College of Engineering & Technology absalnabi@gmail.com +919447703238 Abstract- To develop

More information

REDUCING THE OCCURRENCES AND IMPACT OF FREIGHT TRAIN DERAILMENTS

REDUCING THE OCCURRENCES AND IMPACT OF FREIGHT TRAIN DERAILMENTS REDUCING THE OCCURRENCES AND IMPACT OF FREIGHT TRAIN DERAILMENTS D-Rail Final Workshop 12 th November - Stockholm Monitoring and supervision concepts and techniques for derailments investigation Antonella

More information

Steering Actuator for Autonomous Driving and Platooning *1

Steering Actuator for Autonomous Driving and Platooning *1 TECHNICAL PAPER Steering Actuator for Autonomous Driving and Platooning *1 A. ISHIHARA Y. KUROUMARU M. NAKA The New Energy and Industrial Technology Development Organization (NEDO) is running a "Development

More information

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V3 PP 26-30 www.iosrjen.org (FPGA) based design for minimizing petrol spill from the pipe

More information

Design of Integrated Power Module for Electric Scooter

Design of Integrated Power Module for Electric Scooter EVS27 Barcelona, Spain, November 17-20, 2013 Design of Integrated Power Module for Electric Scooter Shin-Hung Chang 1, Jian-Feng Tsai, Bo-Tseng Sung, Chun-Chen Lin 1 Mechanical and Systems Research Laboratories,

More information

Autonomous inverted helicopter flight via reinforcement learning

Autonomous inverted helicopter flight via reinforcement learning Autonomous inverted helicopter flight via reinforcement learning Andrew Y. Ng, Adam Coates, Mark Diel, Varun Ganapathi, Jamie Schulte, Ben Tse, Eric Berger, and Eric Liang By Varun Grover Outline! Helicopter

More information

Up gradation of Overhead Crane using VFD

Up gradation of Overhead Crane using VFD Up gradation of Overhead Crane using VFD Sayali T.Nadhe 1, Supriya N.Lakade 2, Ashwini S.Shinde 3 U.G Student, Dept. of E&TC, Pimpri Chinchwad College of Engineering, Pune, India 1 U.G Student, Dept. of

More information

SEED 128 Algorithm Specification

SEED 128 Algorithm Specification SEED 128 Algorithm Specification SEED 128 Algorithm Specification Abstract SEED is a 128-bit symmetric key block cipher that had been developed by KISA (Korea Information Security Agency) and a group of

More information

OUTLINE INTRODUCTION SYSTEM CONFIGURATION AND OPERATIONAL MODES ENERGY MANAGEMENT ALGORITHM CONTROL ALGORITHMS SYSTEM OPERATION WITH VARYING LOAD

OUTLINE INTRODUCTION SYSTEM CONFIGURATION AND OPERATIONAL MODES ENERGY MANAGEMENT ALGORITHM CONTROL ALGORITHMS SYSTEM OPERATION WITH VARYING LOAD OUTLINE INTRODUCTION SYSTEM CONFIGURATION AND OPERATIONAL MODES ENERGY MANAGEMENT ALGORITHM CONTROL ALGORITHMS SYSTEM OPERATION WITH VARYING LOAD CONCLUSION REFERENCES INTRODUCTION Reliable alternative

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management N.Indhumathi 1, Dr.S.Nirmala 2 PG Student [Applied Electronics], Dept. of ECE, Muthayammal Engineering College, Namakkal, Tamilnadu,

More information

OPTIMIZATION OF A SHOCK ABSORBER ASSEMBLY LINE USING SIMULATION

OPTIMIZATION OF A SHOCK ABSORBER ASSEMBLY LINE USING SIMULATION Harris Hotel, Batam, Indonesia, February 12th-14th, 2013 ISSN : 1978-774X OPTIMIZATION OF A SHOCK ABSORBER ASSEMBLY LINE USING SIMULATION Iwan A. Soenandi Industrial Engineering Department, Faculty of

More information

Hewlett-Packard Company 5400/8200 zl Switch Series. FIPS Non-Proprietary Security Policy

Hewlett-Packard Company 5400/8200 zl Switch Series. FIPS Non-Proprietary Security Policy Hewlett-Packard Company 5400/8200 zl Switch Series Module Name: HP Networking 5400 zl [1,2] and 8200 zl [3,4] Switch Series Hardware Versions: 5406 zl [1] 5412 zl [2], 8206 zl [3], 8212 zl [4] [A]; Switches:

More information

Design of Hybrid Controller for Direct Torque Control of Induction Motor Drive

Design of Hybrid Controller for Direct Torque Control of Induction Motor Drive International Research Journal of Engineering and Technology (IRJET) e-issn: 2395-56 Design of Hybrid Controller for Direct Control of Induction Motor Drive Nikhil V. Upadhye 1, Mr. J.G. Chaudhari 2, Dr.

More information

University Of California, Berkeley Department of Mechanical Engineering. ME 131 Vehicle Dynamics & Control (4 units)

University Of California, Berkeley Department of Mechanical Engineering. ME 131 Vehicle Dynamics & Control (4 units) CATALOG DESCRIPTION University Of California, Berkeley Department of Mechanical Engineering ME 131 Vehicle Dynamics & Control (4 units) Undergraduate Elective Syllabus Physical understanding of automotive

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

Research Article A New Sliding Mode Controller for DC/DC Converters in Photovoltaic Systems

Research Article A New Sliding Mode Controller for DC/DC Converters in Photovoltaic Systems Energy Volume, Article ID, pages http://dx.doi.org/.// Research Article A New Sliding Mode Controller for DC/DC Converters in Photovoltaic Systems M. Sarvi, I. Soltani, N. NamazyPour, and N. Rabbani Faculty

More information

5 kw Multilevel DC-DC Converter for Hybrid Electric and Fuel Cell Automotive Applications

5 kw Multilevel DC-DC Converter for Hybrid Electric and Fuel Cell Automotive Applications 1 5 kw Multilevel DC-DC Converter for Hybrid Electric and Fuel Cell Automotive Applications Faisal H. Khan 1,2 Leon M. Tolbert 2 fkhan3@utk.edu tolbert@utk.edu 2 Electric Power Research Institute (EPRI)

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

Experimental Resultsofa Wind Energy Conversion Systemwith STATCOM Using Fuzzy Logic Controller

Experimental Resultsofa Wind Energy Conversion Systemwith STATCOM Using Fuzzy Logic Controller Bulletin of Electrical Engineering and Informatics ISSN: 2302-9285 Vol. 5, No. 3, September 2016, pp. 271~283, DOI: 10.11591/eei.v5i3.593 271 Experimental Resultsofa Wind Energy Conversion Systemwith STATCOM

More information

Circular BIST - Organization

Circular BIST - Organization Circular BIST - Organization Architecture Operation BIST Controller Selective Replacement Register Adjacency Limit Cycling Design Guidelines Hardware Solutions Benefits and Limitations C. Stroud 10/06

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 MOTIVATION OF THE RESEARCH Electrical Machinery is more than 100 years old. While new types of machines have emerged recently (for example stepper motor, switched reluctance

More information

Smart Grid A Reliability Perspective

Smart Grid A Reliability Perspective Khosrow Moslehi, Ranjit Kumar - ABB Network Management, Santa Clara, CA USA Smart Grid A Reliability Perspective IEEE PES Conference on Innovative Smart Grid Technologies, January 19-21, Washington DC

More information

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Gernot Hehn Today s personal vehicles have an electrical system operating from

More information

Optimized IGBT technology for mild hybrid vehicles

Optimized IGBT technology for mild hybrid vehicles EVS27 Barcelona, Spain, November 17-20, 2013 Optimized IGBT technology for mild hybrid vehicles Dr. Carlos Castro 1, Laurent Beaurenaut 1 1 Infineon Technologies AG, Am Campeon 1-12, D-85579, Neubiberg,

More information

Analysis and Design of Independent Pitch Control System

Analysis and Design of Independent Pitch Control System 5th International Conference on Civil Engineering and Transportation (ICCET 2015) Analysis and Design of Independent Pitch Control System CHU Yun Kai1, a *, MIAO Qiang2,b, DU Jin Song1,c, LIU Yi Yang 1,d

More information

Computer Aided Transient Stability Analysis

Computer Aided Transient Stability Analysis Journal of Computer Science 3 (3): 149-153, 2007 ISSN 1549-3636 2007 Science Publications Corresponding Author: Computer Aided Transient Stability Analysis Nihad M. Al-Rawi, Afaneen Anwar and Ahmed Muhsin

More information

TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY

TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY U.P.B. Sci. Bull., Series D, Vol. 77, Iss. 3, 2015 ISSN 1454-2358 TURBOGENERATOR DYNAMIC ANALYSIS TO IDENTIFY CRITICAL SPEED AND VIBRATION SEVERITY Claudiu BISU 1, Florian ISTRATE 2, Marin ANICA 3 Vibration

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information