Leakage Aware Design for Next Generation's SOCs

Size: px
Start display at page:

Download "Leakage Aware Design for Next Generation's SOCs"

Transcription

1 Roberto Zafalon Director, EU R&D Projects Leakage Aware Design for Next Generation's SOCs Roberto Zafalon European R&D Projects Date 09 workshop, April 24 th 2009 Designing for Embedded Parallel Computing Platforms: Architectures Session Outline Market Application rush Basics of CMOS Leakage Power consumption Why bothering for low power systems? Technology Scaling, Trends & Roadmap Leakage Aware design strategies Cost of heat removal: packaging and reliability Memory architectures Increased market share of mobile electronics Limitations of battery technology Conclusion 1

2 30 Years of Electronics Industry CAGR Semic. Capex: 17% Semic. Market: 15% Electronic Systems: 8 % WW GDP: 3,4% Market Application rush 1 TOPS/W 100 GOPS/W 5 GOPS/W H264 encoding dictation Expression recognition Gbit radio Adaptive route Gesture recognition 3D gaming 3D TV 3D ambient Structured interaction decoding Ubiquitous 3D projectednavigation Autonomous display driving HMI by motion Structured Gesture detection encoding Collision avoidance Language Emotion recognition Mobile Base-band Image recognition UWB A/V Sign streaming recognition n Si Xray H264 decoding Fully recognition (security) Auto personalization Year of Introduction 2

3 CMOS Roadmap: 3 main showstoppers Pat Gelsinger, CTO Intel Corp. Quote from DAC 04 Keynote: Power is the only limiter!! CMOS Roadmap: 3 main showstoppers: 1. Subthreshold Leakage Current ( I off ) 2. Huge Process Variation Spread 3. Interconnect Performance and Signal Integrity A further quote, to start with Roberto Zafalon, Low Power System Design mngr, STMicroelectronics CLEAN-IP General Project Manager Quote from CLEAN Press Release published by EETIMES on Jan 2006: Semiconductor industry urges to overcome the technology shortcomings for 65nm and below, and in particular, process variability and unreliability, as well as leakage currents, Industry needs to decrease power consumption of nanoelectronic devices, increase design productivity and thus make the raised SoC s complexity manageable. 3

4 Why bothering for low power systems? Practical market issue: Increasing market share of mobile, asking for longer cruising life Limitations of battery technology Economic issue: Reducing packaging costs and achieving energy savings Technology issue: Enabling the realization of high-density chips (heat poses severe constraints to reliability) Electronic Technology Today: CMOS Convergence CMOS technology dominates in modern ICs. 1960s 1970s 1980s 1990s 2000s Watch Chip Calculator PMOS CMOS CMOS SRAM NMOS CMOS Microprocessor NMOS CMOS FLASH NMOS CMOS DRAM PMOS NMOS CMOS Server/Mainframe Bipolar ECL BICMOS CMOS 1960s 1970s 1980s 1990s 2000s 4

5 CMOS at core of chip making still for many years The theoretical limit for transistor gate length on silicon is around 1.5nm. Today s 65nm CMOS process has a gate length of 42nm: i.e 28X larger than the theoretical limit! In 32nm, the gate length is 21nm i.e. 14X above limit The gate delay determines the fundamental speed of the logic. The theoretical limit is 0.04ps Today s 65nm logic NAND2 is ~1ps, i.e. 24X slower! Transistor density, i.e. the number of device which can be squeezed into a chip, reaches the limit around 1.8 billion Tx per cm². Source: ITRS, STM, IFX Today s 65nm CMOS device is 7.5X larger! (i.e. 750Kgate/mm 2 = 2.4M Tx/mm 2 = 240M Tx/cm 2 ) Performance as measured by clock speed, fell off Moore s Law during the last decade, thanks to Multi Processors computing architectures. Basics of CMOS Power Consumption Power consumption of a CMOS gate: P = P SW + P SC + P Lk where: P SW = Switching (or dynamic) power. P SC = Short-circuit power. P Lk = Leakage (or stand-by) power. In older technologies (0.25um and above), P Lk was marginal w.r.t. switching power: Switching power minimization was the primary objective. In deep sub-micron processes, P Lk becomes critical: ii Leakage accounts for around 5-10% of power budget at 180nm; this grows to 20-25% at 130nm and to 35-60% at 65 nm. 5

6 Leakage Currents in Bulk CMOS I sub : Subthreshold current. SOURCE GATE I G DRAIN I gs, I gb, I gd : Gate oxide tunneling. I jbs, I jbd : Junction reverse current. I S I gs I gb I gd I sub I GIDL I jbs I GISL I jbd I D I GIDL, I GISL : Gate induced D,S leakage. BULK I ii I B I ii : Impact ionization current. Long Channel (L > 1 um) Very small leakage Short Channel (L > 180nm, Tox > 30A 0 ) Subthreshold leakage Very Short Channel (L > 90nm, Tox > 20A 0 ) Subthreshold + Gate leakage Nano-scaled (L < 90nm, Tox < 20A 0 ) Subthreshold + Gate + Junction leakage Technology Scaling Smaller geometries Higher device density: Smaller gate capacitance, yet many more gates/chip Higher switched capacitance Higher switching power. Higher clock frequencies: Higher switching power Lower supply voltages: Lower switching power, but also lower speed Lower threshold voltages Exponential leakage Consequence: Power density increases as technology scales! 6

7 ITRS Roadmap 2007 vs Moore s law Squeezing costs of computing cores ARM nm 11.8 mm2 130 nm, 5.2 mm2 90 nm, 2.6 mm2 65 nm 1.4 mm2 7

8 VDD (no more) scaling is increasing the «power crisis» Volt Evolution of VDD (LSTP) 5V plateau Regular Decrease in 10 years From 5V to 1.2V (x 0.7 per node) 1.2V plateau 1.1V Year of production (ITRS) 1V plateau? Power Trend for microprocessors Power density in Intel s microprocessors: Watts/cm 2 10 i386 Nuclear Reactor 1.4GHz, 75W P4 Pentium III Hot plate Pentium II PentiumPro Pentium i486 P5 Rocket Noozle Sun s surface 1 1.5μ 1μ 0.7μ 0.5μ 0.35μ 0.25μ 0.18μ 0.13μ 0.1μ 0.07μ 0.05μ 8

9 CMOS Logic Tech Overview Source: STMicroelectronics Gate total power Total power per gate [nw] LP/G 45GS 32LP 32GP F=500Mhz % 5% 10% 20% 50% 90% Duty Cycle [%] Source: STMicroelectronics 9

10 90/65/45nm Speed vs Leakage Source: STMicroelectronics Ioff/Ion for 32LP, 65LP and 65GP 1.E+06 PMOS NMOS 1.E+05 LVT LVT 65GP SVT SLVT 65GP SVT SLVT Ioff (pa/um) 1.E+04 1.E+03 HVT LVT 32LP 1.0V LVT HVT LVT 32LP 1.0V LVT 1E+02 1.E+02 65LP SVT HVT SVT 65LP HVT SVT SVT 65LP 1.2V 65GP 1.0V 32LP 1.0V HVT HVT 1.E Ion (ua/um) Source: STMicroelectronics 10

11 Technology Scaling Increasing contribution of leakage power: Example: ASICs [source: STMicroelectronics] Pow er Density (Watts/cm 2 ) nm 180nm 130nm 90nm 65nm Leakage Power Dynamic Power Example: Microprocessors [source: Intel]. Itanium 2: 180nm, 1.5V, 1.0GHz, 221MTx (core+cache) Itanium 3: 130nm, 1.3V, 1.5GHz, 410MTx (core+cache) 100% 80% 60% 40% 20% 0% Itanium 2 Itanium 3 Leakage Power I/O Power Dynamic Power SoC Requirements for MP platforms (1) Processing performance is expected to grow more than 200x in the next 15 years. 11

12 SoC Requirements for MP platforms (2) # PE per chip; Processing Performance; ND2 s max switching frequency (normalized to 2007) Wafers/Week x MOS Capacity by Dimensions 3Q 05 4Q 05 1Q 06 2Q 06 3Q 06 4Q 06 1Q 07 2Q 07 3Q 07 4Q 07 1Q 08 2Q 08 >=0.7µ <0.7µ >=0.4µ <0.4µ >=0.3µ <0.3µ >=0.2µ <0.2µ >=0.16µ <0.16µ >=0.12µ <0.12µ <0.12µ >=0.08µ <0.08µ Source: "Semiconductor Industry Association", Statistics Report 2008-Q2 12

13 Dynamic vs. Leakage Power Source: ITRS Roadmap Pow wer [W] 1 Dynamic Power Cross-Over Sub-Threshold Leakage Possible trajectory for high-k dielectrics 50 Technology Node Gate-Oxide 10-6 Leakage e Length [nm] Physical Gate Semiconductor s Challenge Power Sensors RF FPGA Memory Graphics Computing Wireless OS, software. Protocols Communication Moore s Law at Work! 13

14 Leakage crisis: Is it a technology issue only? Trends: nominal Vdd getting stable around 1V MOS s Vth linearly scales to keep costant speed But leakage grows exponentially with Vth reduction!! sub-threshold current from 100 to 1000 pa/um gate leakage to become larger that sub-threshold total static power from 21E-12 to 60E-12 W/Transistor SOI has major disadvantages w.r.t. subthreshold reduction! Leakage Aware design strategy includes A. Gate/Circuit-level techniques Use of multiple V th Dual-V th design. Mixed-V th (MVT) CMOS design. MTCMOS. Sleep transistor insertion/voltage islands State retention FFs B. Techniques for memory circuits Cell state (stored value) determines exactly which transistors leak State-preserving techniques: Only suitable choice for non-cache memories (e.g., scratchpad). State-destroying techniques: Suitable for caches (can invalidate values). C. Architectural techniques Adaptive Body Biasing (ABB). Adaptive Voltage Scaling (AVS). V th hopping. Multiple V BB 14

15 Memory Driver Static Static Power Dissipation (mw/cell) HP / LSTP Dynamic Power Consumption Per Cell - (mw/mhz) HP / LSTP Dyn 8.E E E-07 5.E E E E E E+00 Low Leakage Memory Approaches Leakage reduction techniques can be broadly classified in terms of how memory state is managed : State-preserving techniques: Memory cell value is preserved when in low-leakage state. Suitable choice for non-cache memories (e.g., scratch-pad). State-destroying techniques: Memory cell value is NOT preserved when in low-leakage state. Suitable only for caches (can invalidate values). Tradeoff between: Residual leakage paid to preserve the state. Restoring the lost state from higher levels of the memory hierarchy. 15

16 Low Leakage Memory Approaches (cont.) Circuit-level techniques: Modify internal structure of SRAM cells. Transistor size, P/N ratio, V th, body bias. Additional transistors. Precharge policy tuning May possibly require specialized process (e.g., different Tox, Halo doping, multiple V th ). Architectural techniques. Use system level information to determine conditions to drive portions of memory into lowleakage state. Portions of Memory: bit lines, blocks, regions, etc. Spatio-Temporal-Value Cache Partitioned Architecure (Outcome of CLEAN): CM 1. Tag 1 Data 1. Address Tag Data Address CM i Address i Tag i Data i. Sleep i. CM N Tag N Data N 16

17 SoC Design Grand Challenges (source: ITRS 2007) MANAGEMENT OF OVERALL POWER Due to the Moore s law,power management is the primary issue across most application segments. Needs to be addressed across multiple levels, especially system, design, and process technology. MANAGEMENT OF LEAKAGE POWER Leakage currents increase by 10x per tech node. From system design requirements & improvements in CAD design tools, downto leakage and performance requirements for new architectures. Subthreshold Leakage vs. Temperature Ioff (na/μm) 10,000 1, μm 0.13 μm 0.18 μm 0.25 μm Temp (C) 17

18 Thermal map of a Multi Processor SoC Chip floorplan Steady state temperature Some hot spots in steady state: Silicon is a good thermal conductor (only 4x worse than Cu) and temperature gradients are likely to occur on large dies Lower power density than on a high performance CPU (lower frequency and less complex HW) Thermal Management Challenge BGA Normalized cost vs. thermal enhancement Ceramic Metal max cost min cost Organic Baseline Normalized cost Source: STM Corporate Packaging BGA package rough (Cost-performance High-performance) max power density = W/cm2 Cost per pin = /pin (~ 90 pins/cm2) Max pincount =

19 Increased share of Mobile Phone Subscribers Cellular Phones: GSM+CDMA The fastest growing communication technology of all time. The billionth subcriber user was connected in Q Millions of subscribers Q2-08 Mobile Phones Regional Split at Q M subscribers as of Q Mobile Broadband Network (HSPA) subscribers has reached 50 M from 11 M on 2007 (i.e. 4 M/Month growth rate). GSM Regional Statistics Q Asia Pac Europe West Europe East Americas Africa Middle East USA/CND 19

20 Cellular Phone s standby current Nomadik : ST s example of Mobile Multi-Media driver Audio Video 20

21 Nomadik : a flagship design for ultra low power! and not Only Mobile! 20% of electrical energy consumed in Amsterdam is used for Telecom In the US, Internet is responsible for 9% of the electrical energy consumed nation-wide This grows to 13% with all computer applications Transfering 2 MBytes of data through the internet consumes the energy of 1 pound of coal (1 pound=0.453 Kg) Source: 2000 CO2 conference, Amsterdam, NL 21

22 Complexity goes non-linear Complexity SOXX Finance 50% 40% 30% 20% 10 % 0% -10% -20% -30% -40% 3/12 12/12-50% integration Markets LITHO & DFM LINEAR APPROACH TO SW complexity non linear PROBLEMS! Cost IC Design verification Complexity Conclusion Semiconductor market is still CMOS dominated: Switching and leakage power. Leakage will become dominant for technology nodes below 65nm. Leakage power optimization must be addressed from both technology and design points of view. Many circuit-level techniques have been investigated recently: Not yet fully supported by commercial EDA tools. Higher-level approaches are still in their infancy: Results are promising. The electronics industry calls for a REVOLUTION! 22

23 Industry s Needs Ultra low power systems Ultra low power cognitive radio Energy scavenging Micro-Nano System systems On Wafer System In Package System On Wafer 23

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Automotive, Consumer, Computer & Communication Infrastructure ( ACCI ) Home Entertainment & Displays ( HED )

Automotive, Consumer, Computer & Communication Infrastructure ( ACCI ) Home Entertainment & Displays ( HED ) Automotive Product Group Paul Grimme Executive Vice President, General Manager Automotive Product Group Business Segments 50/50 JV with Ericsson Wireless Automotive, Consumer, Computer & Communication

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Material Engineering for 7nm FinFETs

Material Engineering for 7nm FinFETs Material Engineering for 7nm FinFETs Victor Moroz 2014 Synopsys. All rights reserved. 1 July 10, JTG Semicon West 2014, San Francisco Outline 2014 Synopsys. All rights reserved. 2 Outline 2014 Synopsys.

More information

Design-Technology Co-Optimization for 5nm Node and Beyond

Design-Technology Co-Optimization for 5nm Node and Beyond Design-Technology Co-Optimization for 5 Node and Beyond Semicon West 26 Victor Moroz July 2, 26 Why Scaling? When What scales? When does it end? 965 999 2 Moore s Law (Fairchild): Double transistor density

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

Non-volatile STT-RAM: A True Universal Memory

Non-volatile STT-RAM: A True Universal Memory Non-volatile STT-RAM: A True Universal Memory Farhad Tabrizi Grandis Inc., Milpitas, California August 13 th, 2009 Santa Clara, CA, USA, August 2009 1 Outline Grandis Corporation Overview Current Flash

More information

Challenges of integration of power supplies on chip. Indumini Ranmuthu Ph.D October 2016

Challenges of integration of power supplies on chip. Indumini Ranmuthu Ph.D October 2016 Challenges of integration of power supplies on chip Indumini Ranmuthu Ph.D October 2016 Why this is important: There is significant trend in the industry towards power density and integration in power

More information

gan power Energy-efficient power electronics with Gallium Nitride transistors Leti, technology research institute Contact:

gan power Energy-efficient power electronics with Gallium Nitride transistors Leti, technology research institute Contact: gan power Energy-efficient power electronics with Gallium Nitride transistors, technology research institute Contact: leti.contact@cea.fr A market in growth GaN devices for next-era power-electronics applications

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

gan power Energy-efficient Power Electronics using Gallium Nitride Transistors Leti, technology research institute Contact:

gan power Energy-efficient Power Electronics using Gallium Nitride Transistors Leti, technology research institute Contact: gan power Energy-efficient Power Electronics using Gallium Nitride Transistors, technology research institute Contact: leti.contact@cea.fr A GROWTH MARKET GaN Devices for Next-Era Power Electronics $ 600.0M

More information

Automotive Product Group (APG)

Automotive Product Group (APG) Automotive Product Group (APG) Marco Monti Executive Vice President, General Manager, Automotive Product Group Kevin Gagnon Vice President, Region Americas Central Sales Territory & APG Marketing ST in

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

Automotive. Ugo Carena Corporate Vice President Automotive Products Group (APG)

Automotive. Ugo Carena Corporate Vice President Automotive Products Group (APG) Automotive Ugo Carena Corporate Vice President Automotive Products Group (APG) ST Growing Faster than the Market Early phase: smart power Transition to digital core Full system solution approach APG sales

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder

Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Layout Design and Implementation of Adiabatic based Low Power CPAL Ripple Carry Adder Ms. Bhumika Narang TCE Department CMR Institute of Technology, Bangalore er.bhumika23@gmail.com Abstract this paper

More information

Getting the Lead Out December, 2007

Getting the Lead Out December, 2007 Getting the Lead Out December, 2007 Tom DeBonis Assembly & Test Technology Development Technology and Manufacturing Group Summary Intel has removed the lead (Pb) from its manufacturing process across its

More information

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1 Advanced Topics Packaging Power Distribution I/O ECE 261 James Morizio 1 Package functions Packages Electrical connection of signals and power from chip to board Little delay or distortion Mechanical connection

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni Energy Source Lifetime Optimization for a Digital System through Power Management by Manish Kulkarni A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

emotion in Smart Cities

emotion in Smart Cities European Conference on Nanoelectronics and Embedded Systems for Electric Mobility emotion in Smart Cities 25-26 th September 2012, University of Bologna, Italy ENIAC JU Funding Project E2SG Energy to Smart

More information

Lecture 2. Power semiconductor devices (Power switches)

Lecture 2. Power semiconductor devices (Power switches) Lecture 2. Power semiconductor devices (Power switches) Power semiconductor switches are the work-horses of power electronics (PE). There are several power semiconductors devices currently involved in

More information

Ultra Low Power Electronics in the Next Decade

Ultra Low Power Electronics in the Next Decade Ultra Low Power Electronics in the Next Decade SSCS Distinguished Lecture Ajith Amerasekera Texas Instruments Inc. Dallas, USA February 2012 amerasekera@ti.com OUTLINE Growth in Electronics What Do We

More information

Helping Moore s Law: Architectural Techniques to Address Parameter Variation

Helping Moore s Law: Architectural Techniques to Address Parameter Variation Helping Moore s Law: Architectural Techniques to Address Parameter Variation Computer Science Department University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/~teodores Technology scaling

More information

GC03 Logic gates and Transistors

GC03 Logic gates and Transistors GC3 Logic gates and Peter Rounce p.rounce@cs.ucl.ac.uk Electronic switch A B Switch Control Switch Control active - switch closed Resistance between A and B is very small Resistance ~ Voltage at V = Voltage

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters

Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters Alexis Kwasinski The University of Texas at Austin 1 Alexis Kwasinski, 2010 Overview» Introduction»

More information

Present Status and Prospects for Fuji Electric s IC Products and Technologies Yoshio Tsuruta Eiji Kuroda

Present Status and Prospects for Fuji Electric s IC Products and Technologies Yoshio Tsuruta Eiji Kuroda Present Status and Prospects for Fuji Electric s IC Products and Technologies Yoshio Tsuruta Eiji Kuroda 1. Introduction Utilizing core technologies of high voltage technology (power IC technology), high

More information

HADES Workshop. May 24-26, 2011 Perma Works LLC. My thanks to the GNS and Tiger Energy Services. Randy Normann, CTO

HADES Workshop. May 24-26, 2011 Perma Works LLC. My thanks to the GNS and Tiger Energy Services. Randy Normann, CTO HADES Workshop May 24-26, 2011 Perma Works LLC My thanks to the GNS and Tiger Energy Services Randy Normann, CTO randy@permaworks.com Perma Works LLC Albuquerque, New Mexico, USA Perma Works Acquiring

More information

EE Architecture for Highly Electrified Powertrain

EE Architecture for Highly Electrified Powertrain EE Architecture for Highly Electrified Powertrain 2020-2030 M. Gleich, Senior Manager Marketing and Business Development Powertrain - restricted - Context Resources, Pollution, Climate Urbanization Moore

More information

EEC 216 Lecture #10: Power Sources. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Power Sources. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #10: Power Sources Rajeevan Amirtharajah University of California, Davis Announcements Outline Review: Adiabatic Charging and Energy Recovery Lecture 9: Dynamic Energy Recovery Logic Lecture

More information

STMicroelectronics. e-mobility: An outlook of new great opportunities for Automotive Industry

STMicroelectronics. e-mobility: An outlook of new great opportunities for Automotive Industry STMicroelectronics e-mobility: An outlook of new great opportunities for Automotive Industry Roberto Zafalon EU Projects Director R&D and Public Affairs STMicroelectronics Italy Bologna, September 25 th,

More information

Arcing prevention by dry clean optimization at Shallow Trench Isolation (STI) Etch in AMAT MxP by use of plasma parameters

Arcing prevention by dry clean optimization at Shallow Trench Isolation (STI) Etch in AMAT MxP by use of plasma parameters Page 1 Arcing prevention by dry clean optimization at Shallow Trench Isolation (STI) Etch in AMAT MxP by use of plasma parameters www.tu-cottbus.de www.infineon.com 2 nd AEC/ Conference Europe, April 18

More information

Automotive Product Group Highlights

Automotive Product Group Highlights Automotive Product Group (APG) Marco Monti Executive Vice President, General Manager, Automotive Product Group Paul Grimme Executive Vice President, General Manager, Sales & Marketing, Europe, Middle East

More information

安全與智慧兼具的未來汽車電子 技術趨勢 Drive smart, Drive safe

安全與智慧兼具的未來汽車電子 技術趨勢 Drive smart, Drive safe 安全與智慧兼具的未來汽車電子 技術趨勢 Drive smart, Drive safe 郭益宏行銷經理汽車產品事業部意法半導體 SH Kueh Marketing Manager Automotive Product Group STMicroelectronics STMicroelectronics: Automotive Snapshot 2011 Automotive Semiconductor

More information

Coreless Packaging Technology for High-performance Application

Coreless Packaging Technology for High-performance Application 62 nd ECTC San Diego, CA: May 29 June 1, 2012 Coreless Packaging Technology for High-performance Application Corp Advanced LSI Assembly Product Department Analog LSI Bussiness Division Semiconductor Business

More information

Gyro Markets. New players and business models gives momentum to defence, automotive & consumer applications

Gyro Markets. New players and business models gives momentum to defence, automotive & consumer applications Gyro Markets New players and business models gives momentum to defence, automotive & consumer applications 2006 Report name : Gyro Publication date : April 2006 Content Methodology Overview of the MEMS

More information

Power Electronics Roadmap. Updated by the Advanced Propulsion Centre in collaboration with and on behalf of the Automotive Council

Power Electronics Roadmap. Updated by the Advanced Propulsion Centre in collaboration with and on behalf of the Automotive Council Power Electronics Roadmap Updated by the Advanced Propulsion Centre in collaboration with and on behalf of the Automotive Council Executive summary: Power electronics The 2013 roadmap was developed alongside

More information

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Power distribution techniques for dual-vdd circuits Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Outline Motivation for multiple supply design Implications of using multiple

More information

Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry

Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry Richard Sun Angstrom Sun Technologies Inc., Acton, MA Joint work with Darwin Enicks, I-Lih Teng, Janice Rubino ATMEL,

More information

DARE+ DARE+ Design Against Radiation Effects (Digital) Cell Libraries. Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011

DARE+ DARE+ Design Against Radiation Effects (Digital) Cell Libraries. Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011 DARE+ Design Against Radiation Effects (Digital) Cell Libraries Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011 Objectives (1/2) Provide a suitable and mixed-signal capable microelectronic

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

HERZLICH WILLKOMMEN ZUM LIEFERANTEN-INNOVATIONSTAG 2015

HERZLICH WILLKOMMEN ZUM LIEFERANTEN-INNOVATIONSTAG 2015 HERZLICH WILLKOMMEN ZUM LIEFERANTEN-INNOVATIONSTAG 2015 18. November 2015 TOGETHER WE MOVE ff TECH-SESSION: VERNETZUNG & ASSISTENZ 14:30 16:00 Uhr TOGETHER WE MOVE ff New Solutions for High Frequency /

More information

What drives silicon demand? Dr. Volker Braetsch Senior Vice President, Global Sales & Marketing September 21, 2017

What drives silicon demand? Dr. Volker Braetsch Senior Vice President, Global Sales & Marketing September 21, 2017 Dr. Volker Braetsch Senior Vice President, Global Sales & Marketing September 21, 2017 Siltronic AG 2017 20 years back more than half of the semiconductor sales was for computing todays markets are much

More information

Energy Harvesting Systems

Energy Harvesting Systems 1 Energy Harvesting Systems Heath Hofmann hofmann@umich.edu Department of EECS University of Michigan, Ann Arbor University of Michigan 1 1 Outline 2 In this presentation we will discuss specific designs

More information

Automotive Electronics/Connectivity/IoT/Smart City Track

Automotive Electronics/Connectivity/IoT/Smart City Track Automotive Electronics/Connectivity/IoT/Smart City Track The Automobile Electronics Sessions explore and investigate the ever-growing world of automobile electronics that affect virtually every aspect

More information

Making Silicon Carbide Schottky Diodes and MOSFETs Mainstream Demands New Approaches to Wafer Fabrication and Converter Design

Making Silicon Carbide Schottky Diodes and MOSFETs Mainstream Demands New Approaches to Wafer Fabrication and Converter Design Making Silicon Carbide Schottky Diodes and MOSFETs Mainstream Demands New Approaches to Wafer Fabrication and Converter Design by Corey Deyalsingh, Littelfuse and Sujit Banerjee, Monolith Semiconductor

More information

NEXT-GENERATION POWER SEMICONDUCTORS: MARKETS MATERIALS, TECHNOLOGIES

NEXT-GENERATION POWER SEMICONDUCTORS: MARKETS MATERIALS, TECHNOLOGIES NEXT-GENERATION POWER SEMICONDUCTORS: MARKETS MATERIALS, TECHNOLOGIES The emerging market for silicon carbide (SiC) and gallium nitride (GaN) power semiconductors is forecast to pass the $1 billion mark

More information

Products design and Application with BD180 Process

Products design and Application with BD180 Process Products design and Application with BD180 Process M. Hoshino Sanken Electric Co. LTD. The Fundamental Issues of the 21st Century Energy ( Electric Power Ecology Greenhouse Effect Environmental hazardous

More information

TOWARDS LOW SULPHUR FUELS ECOWAS/ARA ROADMAP

TOWARDS LOW SULPHUR FUELS ECOWAS/ARA ROADMAP TOWARDS LOW SULPHUR FUELS - ECOWAS/ARA ROADMAP Engr Tony Ogbuigwe ECOWAS Regional Advisor to African Refiners Association Accra, Ghana 31 st October 2016 Presentation outline World refining environment

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Enabling Utility Scale PV: Challenges for Glass Makers

Enabling Utility Scale PV: Challenges for Glass Makers Enabling Utility Scale PV: Challenges for Glass Makers Romain Beau de Lomenie Director, Module Materials Management Thin Film Products, Solar Business Group Applied Materials International Workshop on

More information

HIGH TEMPERATURE ULTRA HIGH VOLTAGE SIC THYRISTORS

HIGH TEMPERATURE ULTRA HIGH VOLTAGE SIC THYRISTORS HIGH TEMPERATURE ULTRA HIGH VOLTAGE SIC THYRISTORS R. Singh, S. Creamer, E. Lieser, S. Jeliazkov, S. Sundaresan GeneSiC Semiconductor Inc. 43670 Trade Center Place, Suite 155, Dulles, VA 20166, USA. Email:

More information

World Energy Investment 2017

World Energy Investment 2017 World Energy Investment 217 Economics and Investment Office IEA OECD/IEA 217 USD (216) billion Global energy investment fell 12% in 216, a second consecutive year of decline 1 75 5-1% Networks Global energy

More information

Nanotechology in Automotive Aplications. Infineon Technologies Romania. Dr. Michael Neuhaeuser General Executive Manager & VP

Nanotechology in Automotive Aplications. Infineon Technologies Romania. Dr. Michael Neuhaeuser General Executive Manager & VP Nanotechology in Automotive Aplications Infineon Technologies Romania Dr. Michael Neuhaeuser General Executive Manager & VP Infineon at a Glance The Company Infineon provides semiconductor and system solutions,

More information

Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks

Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks CREaTION Workshop Multi-Band Radio Frequency Energy Harvesting Storing in Super-Capacitor for Self- Sustainable Cognitive radio networks Luís M. Borges Fernando J. Velez 2005, it - instituto de telecomunicações.

More information

Electrified Vehicles as Platforms for Complex System Control

Electrified Vehicles as Platforms for Complex System Control Electrified Vehicles as Platforms for Complex System Control Technical Research Department Hasan Esen 03.09.2012 HYCON2 WORKSHOP ON ENERGY AGENDA 2 / 16 1. DENSO Corporation Company Profile 2. Increasing

More information

Transmission Technology contribution to CO 2 roadmap a benchmark

Transmission Technology contribution to CO 2 roadmap a benchmark Transmission Technology contribution to CO 2 roadmap a benchmark Martin Bahne Director Attribute System Engineering Ulrich Frey Technical specialist Agenda Introduction Transmission Technology Benchmark

More information

Wheels for a MEMS MicroVehicle

Wheels for a MEMS MicroVehicle EE245 Fall 2001 1 Wheels for a MEMS MicroVehicle Isaac Sever and Lloyd Lim sever@eecs.berkeley.edu, limlloyd@yahoo.com ABSTRACT Inch-worm motors achieve high linear displacements with high forces while

More information

Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments. VP - Strategic Partnership Programs

Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments. VP - Strategic Partnership Programs Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments VP - Strategic Partnership Programs Outline Hybrid Metrology Introduction Hybrid Metrology Use Cases

More information

CS250 VLSI Systems Design

CS250 VLSI Systems Design CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16 What

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

IMEC 2010 RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY

IMEC 2010 RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY OUTLINE Introduction DARE+ activity DARE legacy Analog IP portfolio DARE technology porting SOC design Analog rad-hard design methodology 2 AMICSA

More information

Li-Ion Charge Balancing and Cell Voltage Monitoring for Performance and Safety

Li-Ion Charge Balancing and Cell Voltage Monitoring for Performance and Safety Li-Ion Charge Balancing and Cell Voltage Monitoring for Performance and Safety 2010 Advanced Energy Conference Thomas Mazz Program Manager Aeroflex Inc. Outline / Objectives of this talk Basic advantages

More information

ELECTRIC PROPULSION: EUTELSAT STANDPOINT

ELECTRIC PROPULSION: EUTELSAT STANDPOINT ELECTRIC PROPULSION: EUTELSAT STANDPOINT COSMO CASAREGOLA EPIC Electric Propulsion Innovation & Competitiveness 24-25 October 2017, Madrid EUTELSAT: A KEY PLAYER IN THE SPACE BUSINESS Pioneer in space

More information

Automotive Business Update Maxim Integrated December 5, 2017

Automotive Business Update Maxim Integrated December 5, 2017 Automotive Business Update Maxim Integrated December 5, 2017 Safe Harbor This presentation contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended,

More information

Building Blocks and Opportunities for Power Electronics Integration

Building Blocks and Opportunities for Power Electronics Integration Building Blocks and Opportunities for Power Electronics Integration Ralph S. Taylor APEC 2011 March 8, 2011 What's Driving Automotive Power Electronics? Across the globe, vehicle manufacturers are committing

More information

Smart Grid A Reliability Perspective

Smart Grid A Reliability Perspective Khosrow Moslehi, Ranjit Kumar - ABB Network Management, Santa Clara, CA USA Smart Grid A Reliability Perspective IEEE PES Conference on Innovative Smart Grid Technologies, January 19-21, Washington DC

More information

Optimizing Battery Accuracy for EVs and HEVs

Optimizing Battery Accuracy for EVs and HEVs Optimizing Battery Accuracy for EVs and HEVs Introduction Automotive battery management system (BMS) technology has advanced considerably over the last decade. Today, several multi-cell balancing (MCB)

More information

Attacking the Red Brick Walls of the International Technology Roadmap for Semiconductors (ITRS)

Attacking the Red Brick Walls of the International Technology Roadmap for Semiconductors (ITRS) Attacking the Red Brick Walls of the International Technology Roadmap for Semiconductors (ITRS) Dr. Paolo Gargini Chairman ITRS 2001 Edition Sept-23--2002 What is the ITRS? A consensus reference document

More information

Overview. Battery Monitoring

Overview. Battery Monitoring Wireless Battery Management Systems Highlight Industry s Drive for Higher Reliability By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corporation Overview

More information

Energy, the Environment and Transportation Natural Gas Reciprocating Engine Technolgy July 24, 2012

Energy, the Environment and Transportation Natural Gas Reciprocating Engine Technolgy July 24, 2012 Energy, the Environment and Transportation Natural Gas Reciprocating Engine Technolgy July 24, 2012 Introduction 2 Dave Petruska Engineering Manager at Woodward Licensed Professional Engineer (PE) BS and

More information

Jet Dispensing Underfills for Stacked Die Applications

Jet Dispensing Underfills for Stacked Die Applications Jet Dispensing Underfills for Stacked Die Applications Steven J. Adamson Semiconductor Packaging and Assembly Product Manager Asymtek Sadamson@asymtek.com Abstract It is not uncommon to see three to five

More information

ASIC Design (7v81) Spring 2000

ASIC Design (7v81) Spring 2000 ASIC Design (7v81) Spring 2000 Lecture 1 (1/21/2000) General information General description We study the hardware structure, synthesis method, de methodology, and design flow from the application to ASIC

More information

India Smart Grid Week, 2017

India Smart Grid Week, 2017 India Smart Grid Week, 2017 N. Venu President and Head, Power Grids Division, South Asia, Middle East and Africa ABB 1 Big Shift in Power: Shaping the System of the Future Several global challenges Population

More information

Power Solutions Manager Generac Power Systems, Inc.

Power Solutions Manager Generac Power Systems, Inc. Engine Generator Paralleling Concepts Gen. #1 Gen. #2 Gen. #3 Gen. #4 Gen. #5 Presenter: Daniel Barbersek Power Solutions Manager Generac Power Systems, Inc. RUNNING HEADLINE What Topics Will Be Covered

More information

LiDAR Teach-In OSRAM Licht AG June 20, 2018 Munich Light is OSRAM

LiDAR Teach-In OSRAM Licht AG June 20, 2018 Munich Light is OSRAM www.osram.com LiDAR Teach-In June 20, 2018 Munich Light is OSRAM Agenda Introduction Autonomous driving LIDAR technology deep-dive LiDAR@OS: Emitter technologies Outlook LiDAR Tech Teach-In June 20, 2018

More information

AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF

AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF CHRIS THIBODEAU SENIOR VICE PRESIDENT AUTONOMOUS DRIVING Ushr Company History Industry leading & 1 st HD map of N.A. Highways

More information

Key Technologies for the Automobile of the Future. Dr.-Ing. Jochen Langheim

Key Technologies for the Automobile of the Future. Dr.-Ing. Jochen Langheim Key Technologies for the Automobile of the Future Dr.-Ing. Jochen Langheim 25 years of EUREKA «We have done projects since 25 years in EUREKA and it is the best environment for funded projects.» Airbus,

More information

Test & Validation Challenges Facing ADAS and CAV

Test & Validation Challenges Facing ADAS and CAV Test & Validation Challenges Facing ADAS and CAV Chris Reeves Future Transport Technologies & Intelligent Mobility Low Carbon Vehicle Event 2016 3rd Revolution of the Automotive Sector 3 rd Connectivity

More information

Delivering higher efficiency in motor drive applications

Delivering higher efficiency in motor drive applications Delivering higher efficiency in motor drive applications Simon Duggleby, Technical Marketing Manager, Electronics, RS Components Electric motors consume around half of all the electricity produced worldwide

More information

Opportunities & Challenges Energy Storage

Opportunities & Challenges Energy Storage M. Scott Faris CEO faris@planarenergy.com 407-459-1442 Opportunities & Challenges Energy Storage February 2011 The National Academies Workshop Phoenix, AZ Battery Industry is Stuck Volumes are Substantial

More information

Morgan Stanley Industrials & Autos Conference. September 16, 2014

Morgan Stanley Industrials & Autos Conference. September 16, 2014 Morgan Stanley Industrials & Autos Conference September 16, 2014 Rodney O'Neal Chief Executive Officer and President Jeff Owens Executive Vice President and Chief Technology Officer Forward-looking statements

More information

Printed Electronics : The future of paper. by Dr. Gaël DEPRES

Printed Electronics : The future of paper. by Dr. Gaël DEPRES Printed Electronics : The future of paper by Dr. Gaël DEPRES ARJOWIGGINS: Part of the Sequana group 2.8 bn in sales #1 in Europe, #4 worldwide Operations in 44 countries 2 million tonnes of paper distributed

More information

9 th Diesel Engine Emission Reduction Conference Newport, Rhode Island, August 2003

9 th Diesel Engine Emission Reduction Conference Newport, Rhode Island, August 2003 9 th Diesel Engine Emission Reduction Conference Newport, Rhode Island, 24. 28. August 2003 Recent Developments in BMW s Diesel Technology Fritz Steinparzer, BMW Motoren, Austria 1. Introduction The image

More information

GN002 Application Note Thermal Design for GaN Systems Top-side cooled GaNPX -T packaged devices

GN002 Application Note Thermal Design for GaN Systems Top-side cooled GaNPX -T packaged devices GN002 Application Note Thermal Design for GaN Systems Top-side cooled GaNPX -T packaged devices Updated on April 3, 2018 GaN Systems 1 Application Note Outline The Basics - Our top side cooled GaNPX -T

More information

Electronic Power Conversion

Electronic Power Conversion Electronic Power Conversion Introduction Challenge the future 1 Electronic Power Conversion (ET4119) Lecturers: Dr. Jelena Popović-Gerber (LB 3.630) j.popovic@tudelft.nl Material: - Study guide (see Blackboard)

More information

ELECTRIC TRANSMISSION 101: Operational Characteristics. Wayne Galli, Ph.D., P.E. Executive Vice President Clean Line Energy Partners LLC

ELECTRIC TRANSMISSION 101: Operational Characteristics. Wayne Galli, Ph.D., P.E. Executive Vice President Clean Line Energy Partners LLC ELECTRIC TRANSMISSION 101: Operational Characteristics Wayne Galli, Ph.D., P.E. Executive Vice President Clean Line Energy Partners LLC Objectives Primary objective is to understand how the power system*

More information

A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI

A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI Minoru Watanabe and Fuminori Kobayashi Department of Systems Innovation and Informatics Kyushu Institute of

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic MPEN 411 VLSI Digital ircuits Spring 2012 Lecture 06: Static MOS Logic [dapted from Rabaey s Digital Integrated ircuits, Second Edition, 2003 J. Rabaey,. handrakasan,. Nikolic] Sp12 MPEN 411 L06 S.1 Review:

More information

Solar Powered Wireless Sensors & Instrumentation

Solar Powered Wireless Sensors & Instrumentation Solar Powered Wireless Sensors & Instrumentation Energy Harvesting Technology Reduces Operating Cost at Remote Sites Speakers: Michael Macchiarelli Standards Certification Education & Training Publishing

More information

Statement Dr. Norbert Reithofer Chairman of the Board of Management of BMW AG Conference Call Interim Report to 30 June August 2013, 10:00 a.m.

Statement Dr. Norbert Reithofer Chairman of the Board of Management of BMW AG Conference Call Interim Report to 30 June August 2013, 10:00 a.m. - Check against delivery - Statement Dr. Norbert Reithofer Chairman of the Board of Management of BMW AG Conference Call Interim Report to 30 June 2013, 10:00 a.m. Good morning, Ladies and Gentlemen, Today

More information

Automotive Research and Consultancy WHITE PAPER

Automotive Research and Consultancy WHITE PAPER Automotive Research and Consultancy WHITE PAPER e-mobility Revolution With ARC CVTh Automotive Research and Consultancy Page 2 of 16 TABLE OF CONTENTS Introduction 5 Hybrid Vehicle Market Overview 6 Brief

More information

Power & Smart Power Solutions

Power & Smart Power Solutions Power & Smart Power Solutions Matteo Lo Presti General Manager, IMS System Lab & Technical Marketing Key Topics Power management in IMS today Vision and awareness Innovation in technologies and products

More information

Composite Layout CS/ECE 5710/6710. N-type from the top. N-type Transistor. Polysilicon Mask. Diffusion Mask

Composite Layout CS/ECE 5710/6710. N-type from the top. N-type Transistor. Polysilicon Mask. Diffusion Mask Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information