CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars

Size: px
Start display at page:

Download "CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars"

Transcription

1 CS 152 Comuter Architecture and Engineering Lecture 14 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt:// htt://inst.eecs.berkeley.edu/~cs152 Last time in Lecture 13 Register renaming removes WAR, WAW hazards Instruction execution divided into four major stages: Instruction Fetch, Decode/, Execute/Comlete, Commit Control hazards are serious imediment to suerscalar erformance Dynamic branch redictors can be quite accurate (>95%) and avoid most control hazards Branch History Tables (BHTs) just redict direction (later in ieline) Just need a few bits er entry (2 bits gives hysteresis) Need to decode instruction bits to determine whether this is a branch and what the target address is 3/17/2009 CS152-Sring!09 2

2 Dynamic Branch Prediction learning based on ast behavior Temoral correlation The way a branch resolves may be a good redictor of the way it will resolve at the next execution Satial correlation Several branches may resolve in a highly correlated manner (a referred ath of execution) 3/17/2009 CS152-Sring!09 3 Branch Prediction Bits Assume 2 BP bits er instruction Change the rediction after two consecutive mistakes! take wrong taken taken taken take right taken taken take right taken taken take wrong taken BP state: (redict take/ take) x (last rediction right/wrong) 3/17/2009 CS152-Sring!09 4

3 Branch History Table Fetch PC 0 0 I-Cache k BHT Index 2 k -entry BHT, 2 bits/entry Instruction Ocode offset + Branch? Target PC Taken/ Taken? 4K-entry BHT, 2 bits/entry, ~80-90% correct redictions 3/17/2009 CS152-Sring!09 5 Exloiting Satial Correlation Yeh and Patt, 1992 if (x[i] < 7) then y += 1; if (x[i] < 5) then c -= 4; If first condition false, second condition also false History register, H, records the direction of the last N branches executed by the rocessor 3/17/2009 CS152-Sring!09 6

4 Two-Level Branch Predictor Pentium Pro uses the result from the last two branches to select one of the four sets of BHT bits (~95% correct) 0 0 Fetch PC k 2-bit global branch history shift register Shift in Taken/ Taken results of each branch Taken/ Taken? 3/17/2009 CS152-Sring!09 7 Limitations of BHTs Only redicts branch direction. Therefore, cannot redirect fetch stream until after branch target is determined. Correctly redicted taken branch enalty Jum Register enalty A PC Generation/Mux P Instruction Fetch Stage 1 F Instruction Fetch Stage 2 B Branch Address Calc/Begin Decode I Comlete Decode J Steer Instructions to Functional units R Register File Read E Integer Execute UltraSPARC-III fetch ieline Remainder of execute ieline (+ another 6 stages) 3/17/2009 CS152-Sring!09 8

5 Branch Target Buffer IMEM k redicted target BPb Branch Target Buffer (2 k entries) PC target BP BP bits are stored with the redicted target address. IF stage: If (BP=taken) then npc=target else npc=pc+4 later: check rediction, if wrong then kill the instruction and udate BTB & BPb else udate BPb 3/17/2009 CS152-Sring!09 9 Address Collisions Assume a 128-entry BTB target 236 BPb take What will be fetched after the instruction at 1028? BTB rediction = 236 Correct target = Jum Add... Instruction Memory! kill PC=236 and fetch PC=1032 Is this a common occurrence? Can we avoid these bubbles? 3/17/2009 CS152-Sring!09 10

6 BTB is only for Control Instructions BTB contains useful information for branch and jum instructions only! Do not udate it for other instructions For all other instructions the next PC is PC+4! How to achieve this effect without decoding the instruction? 3/17/2009 CS152-Sring!09 11 Branch Target Buffer (BTB) I-Cache PC 2 k -entry direct-maed BTB (can also be associative) Entry PC Valid redicted target PC k = match valid target Kee both the branch PC and target PC in the BTB PC+4 is fetched if match fails Only taken branches and jums held in BTB Next PC determined before branch fetched and decoded 3/17/2009 CS152-Sring!09 12

7 Consulting BTB Before Decoding 132 Jum 100 entry PC 132 target 236 BPb take 1028 Add... The match for PC=1028 fails and is fetched! eliminates false redictions after ALU instructions BTB contains entries only for control transfer instructions! more room to store branch targets 3/17/2009 CS152-Sring!09 13 Combining BTB and BHT BTB entries are considerably more exensive than BHT, but can redirect fetches at earlier stage in ieline and can accelerate indirect branches (JR) BHT can hold many more entries and is more accurate A PC Generation/Mux BHT in later ieline stage corrects when BTB misses a redicted taken branch BTB BHT P Instruction Fetch Stage 1 F Instruction Fetch Stage 2 B Branch Address Calc/Begin Decode I Comlete Decode J Steer Instructions to Functional units R Register File Read E Integer Execute BTB/BHT only udated after branch resolves in E stage 3/17/2009 CS152-Sring!09 14

8 Uses of Jum Register (JR) Switch statements (jum to address of matching case) BTB works well if same case used reeatedly Dynamic function call (jum to run-time function address) BTB works well if same function usually called, (e.g., in C++ rogramming, when objects have same tye in virtual function call) Subroutine returns (jum to return address) BTB works well if usually return to the same lace! Often one function called from many distinct call sites! How well does BTB work for each of these cases? 3/17/2009 CS152-Sring!09 15 Subroutine Return Stack Small structure to accelerate JR for subroutine returns, tyically much more accurate than BTBs. fa() { fb(); } fb() { fc(); } Push call address when function call executed fc() { fd(); } Po return address when subroutine return decoded &fd() &fc() &fb() k entries (tyically k=8-16) 3/17/2009 CS152-Sring!09 16

9 Misredict Recovery In-order execution machines: Assume no instruction issued after branch can write-back before branch resolves Kill all instructions in ieline behind misredicted branch Out-of-order execution? Multile instructions following branch in rogram order can comlete before branch resolves 3/17/2009 CS152-Sring!09 17 In-Order Commit for Precise Excetions In-order Out-of-order In-order Fetch Decode Reorder Buffer Commit Kill Inject handler PC Kill Execute Kill Excetion? Instructions fetched and decoded into instruction reorder buffer in-order Execution is out-of-order (! out-of-order comletion) Commit (write-back to architectural state, i.e., regfile & memory, is in-order Temorary storage needed in ROB to hold results before commit 3/17/2009 CS152-Sring!09 18

10 Branch Misrediction in Pieline Inject correct PC Branch Prediction Kill Kill Branch Resolution Kill PC Fetch Decode Reorder Buffer Commit Comlete Execute Can have multile unresolved branches in ROB Can resolve branches out-of-order by killing all the instructions in ROB that follow a misredicted branch 3/17/2009 CS152-Sring!09 19 Recovering ROB/Renaming Table Table r 1 t t vv t t vv Snashots Register File r 2 Ptr 2 next to commit rollback next available Ptr 1 next available Reorder buffer Ins# use exec o 1 src1 2 src2 d dest data Load Unit FU FU FU Store Unit Commit < t, result > Take snashot of register rename table at each redicted branch, recover earlier snashot if branch misredicted 3/17/2009 CS152-Sring!09 20 t 1 t 2.. t n

11 Seculating Both Directions An alternative to branch rediction is to execute both directions of a branch seculatively resource requirement is roortional to the number of concurrent seculative executions only half the resources engage in useful work when both directions of a branch are executed seculatively branch rediction takes less resources than seculative execution of both aths With accurate branch rediction, it is more cost effective to dedicate all resources to the redicted direction 3/17/2009 CS152-Sring!09 21 CS152 Administrivia Quiz 3, Thursday March 19, Virtual Memory 3/17/2009 CS152-Sring!09 22

12 Data in ROB Design (HP PA8000, Pentium Pro, Core2Duo) Reorder buffer Register File holds only committed state Ins# use exec o 1 src1 2 src2 d dest data t 1 t 2.. t n Load Unit FU FU FU Store Unit Commit < t, result > On disatch into ROB, ready sources can be in regfile or in ROB dest (coied into src1/src2 if ready before disatch) On comletion, write to dest field and broadcast to src fields. On issue, read from ROB src fields 3/17/2009 CS152-Sring!09 23 Unified Physical Register File (MIPS R10K, Alha 21264, Pentium 4) r 1 r 2 t i t j Snashots for misredict recovery t 1 t 2. t n Reg File Table Load Unit FU FU FU Store Unit (ROB not shown) < t, result > One regfile for both committed and seculative values (no data in ROB) During decode, instruction result allocated new hysical register, source regs translated to hysical regs through rename table Instruction reads data from regfile at start of execute (not in decode) Write-back udates reg. busy bits on instructions in ROB (assoc. search) Snashots of rename table taken at every branch to recover misredicts On excetion, renaming undone in reverse order of issue (MIPS R10000) 3/17/2009 CS152-Sring!09 24

13 Pieline Design with Physical Regfile Branch Prediction kill kill Branch Resolution kill kill Out-of-Order Udate redictors In-Order PC Fetch Decode & Reorder Buffer Commit In-Order Physical Reg. File Branch Unit Execute ALU MEM Store Buffer 3/17/2009 CS152-Sring!09 25 D$ Lifetime of Physical Registers Physical regfile holds committed and seculative values Physical registers decouled from ROB entries (no data in ROB) ld r1, (r3) add r3, r1, #4 sub r6, r7, r9 ld r6, (r1) add r6, r6, r3 st r6, (r1) ld r6, (r11) ld, (Px) add,, #4 sub, Py, Pz add,, ld, () add,, st, () ld P7, (Pw) When can we reuse a hysical register? When next write of same architectural register commits 3/17/2009 CS152-Sring!09 26

14 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) (LPRd requires third read ort on Table for each instruction) 3/17/2009 CS152-Sring!09 27 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd x ld P7 r1 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) 3/17/2009 CS152-Sring!09 28

15 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd x ld P7 r1 x add r3 P7 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) 3/17/2009 CS152-Sring!09 29 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd x ld P7 r1 x add r3 P7 x sub r6 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) 3/17/2009 CS152-Sring!09 30

16 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd x ld P7 r1 x add r3 P7 x sub r6 x add r3 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) 3/17/2009 CS152-Sring!09 31 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 P7 Pn Physical Regs <R6> <R7> <R3> <R1> use ex o 1 PR1 2 PR2 Rd LPRd PRd x ld P7 r1 x add r3 P7 x x sub add r6 r3 x ld r6 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) 3/17/2009 CS152-Sring!09 32

17 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 <R1> <R6> <R7> P7 <R3> <R1> Pn Physical Regs use ex o 1 PR1 2 PR2 Rd LPRd PRd x x ld P7 r1 x add r3 P7 x sub r6 x add r3 x ld r6 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) Execute & Commit 3/17/2009 CS152-Sring!09 33 Physical Register Management R0 R1 R2 R3 R4 R5 R6 R7 ROB Table P7 <R1> <R3> <R6> <R7> P7 <R3> Pn Physical Regs P7 use ex o 1 PR1 2 PR2 Rd LPRd PRd x x ld P7 r1 x x add r3 P7 x sub r6 x add r3 x ld r6 ld r1, 0(r3) add r3, r1, #4 sub r6, r7, r6 ld r6, 0(r1) Execute & Commit 3/17/2009 CS152-Sring!09 34

18 Reorder Buffer Holds Active Instruction Window (Older instructions) ld r1, (r3) add r3, r1, r2 sub r6, r7, r9 ld r6, (r1) add r6, r6, r3 st r6, (r1) ld r6, (r1) (Newer instructions) Commit Execute Fetch ld r1, (r3) add r3, r1, r2 sub r6, r7, r9 ld r6, (r1) add r6, r6, r3 st r6, (r1) ld r6, (r1) Cycle t Cycle t + 1 3/17/2009 CS152-Sring!09 35 Suerscalar Register Renaming During decode, instructions allocated new hysical destination register Source oerands renamed to hysical register with newest value Execution unit only sees hysical register numbers Inst 1 O Dest Src1 Src2 O Dest Src1 Src2 Inst 2 Udate Maing Write Ports Read Addresses Table Read Data Register O PDest PSrc1 PSrc2 3/17/2009 CS152-Sring!09 36 O Does this work? PDest PSrc1 PSrc2

19 Suerscalar Register Renaming Inst 1 O Dest Src1 Src2 O Dest Src1 Src2 Inst 2 Udate Maing Must check for RAW hazards between instructions issuing in same cycle. Can be done in arallel with rename looku. O Write Ports Read Addresses Table Read Data PDest PSrc1 PSrc2 O =? =? PDest PSrc1 PSrc2 Register MIPS R10K renames 4 serially-raw-deendent insts/cycle 3/17/2009 CS152-Sring!09 37 Acknowledgements These slides contain material develoed and coyright by: Arvind (MIT) Krste Asanovic (MIT/UCB) Joel Emer (Intel/MIT) James Hoe (CMU) John Kubiatowicz (UCB) David Patterson (UCB) MIT material derived from course UCB material derived from course CS252 3/17/2009 CS152-Sring!09 38

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 15 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3 ECE 552 / CPS 550 Advanced Comuter Architecture I Lecture 10 Instruction-Level Parallelism Part 3 Benjamin Lee Electrical and Comuter Engineering Duke University www.duke.edu/~bcl15 www.duke.edu/~bcl15/class/class_ece252fall12.html

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Computer Architecture and Parallel Computing 并行结构与计算. Lecture 5 SuperScalar and Multithreading. Peng Liu

Computer Architecture and Parallel Computing 并行结构与计算. Lecture 5 SuperScalar and Multithreading. Peng Liu Comuter Architecture and Parallel Comuting 并行结构与计算 Lecture 5 SuerScalar and Multithreading Peng Liu College of Info. Sci. & Elec. Eng. Zhejiang University liueng@zju.edu.cn Last time in Lecture 04 Register

More information

Chapter 2 ( ) -Revisit ReOrder Buffer -Exception handling and. (parallelism in HW)

Chapter 2 ( ) -Revisit ReOrder Buffer -Exception handling and. (parallelism in HW) Comuter Architecture A Quantitative Aroach, Fifth Edition Chater 2 (2.6-2.11) -Revisit ReOrder Buffer -Excetion handling and (seculation in hardware) -VLIW and EPIC (seculation in SW, arallelism in SW)

More information

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3 David Wentzlaff Department of Electrical Engineering Princeton University 1 Agenda SpeculaJon and Branches Register Renaming Memory DisambiguaJon

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士 Computer Architecture 计算机体系结构 Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I Chao Li, PhD. 李超博士 SJTU-SE346, Spring 2018 Review ISA, micro-architecture, physical design Evolution of ISA CISC vs

More information

COSC 6385 Computer Architecture. - Tomasulos Algorithm

COSC 6385 Computer Architecture. - Tomasulos Algorithm COSC 6385 Computer Architecture - Tomasulos Algorithm Fall 2008 Analyzing a short code-sequence DIV.D F0, F2, F4 ADD.D F6, F0, F8 S.D F6, 0(R1) SUB.D F8, F10, F14 MUL.D F6, F10, F8 1 Analyzing a short

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Homework 1 submission

More information

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon]

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Anne Bracy CS 3410 Computer Science Cornell University [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Prog. Mem PC +4 inst Reg. File 5 5 5 control ALU Data Mem Fetch Decode Execute Memory WB

More information

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold Pipelining Readings: 4.5-4.8 Example: Doing the laundry Ann, Brian, Cathy, & Dave A B C D each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

CIS 662: Sample midterm w solutions

CIS 662: Sample midterm w solutions CIS 662: Sample midterm w solutions 1. (40 points) A processor has the following stages in its pipeline: IF ID ALU1 MEM1 MEM2 ALU2 WB. ALU1 stage is used for effective address calculation for loads, stores

More information

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley.

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley. CS152: Computer Architecture and Engineering Introduction to Pipelining October 22, 1997 Dave Patterson (http.cs.berkeley.edu/~patterson) lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/ cs 152

More information

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS Lipasti, artin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar GAS STATION Pipelining II Fall 2007 Prof. Thomas Wenisch http://www.eecs.umich.edu/courses/eecs470 Slides developed in part by Profs. Austin,

More information

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer.

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer. To read more CS 6354: Tomasulo 21 September 2016 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer Architecture:

More information

CS 6354: Tomasulo. 21 September 2016

CS 6354: Tomasulo. 21 September 2016 1 CS 6354: Tomasulo 21 September 2016 To read more 1 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer

More information

Hakim Weatherspoon CS 3410 Computer Science Cornell University

Hakim Weatherspoon CS 3410 Computer Science Cornell University Hakim Weatherspoon CS 3410 Computer Science Cornell University The slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, McKee, and Sirer. memory inst register

More information

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design ENGN64: Design of Computing Systems Topic 5: Pipeline Processor Design Professor Sherief Reda http://scale.engin.brown.edu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Tomasulo-Style Register Renaming

Tomasulo-Style Register Renaming Tomasulo-Style Register Renaming ldf f0,x(r1) allocate RS#4 map f0 to RS#4 mulf f4,f0, allocate RS#6 ready, copy value f0 not ready, copy tag Map Table f0 f4 RS#4 RS T V1 V2 T1 T2 4 REG[r1] 6 REG[] RS#4

More information

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures Lecturer SOE Dan Garcia inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 31 Caches II 2008-04-12 HP has begun testing research prototypes of a novel non-volatile memory element, the

More information

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Study Period 2, 29 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Mafijul Islam Department of Computer Science and Engineering November 12, 29 Study Period 2, 29 Goals: To understand

More information

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining CMU 18-447 Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining Instructor: Prof. Onur Mutlu TAs: Justin Meza, Yoongu Kim, Jason Lin 1 Adding the REP

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped CS61C L31 Caches II (1) inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 31 Caches II 26-11-13 Lecturer SOE Dan Garcia www.cs.berkeley.edu/~ddgarcia GPUs >> CPUs? Many are using

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

Improving Performance: Pipelining!

Improving Performance: Pipelining! Iproving Perforance: Pipelining! Meory General registers Meory ID EXE MEM WB Instruction Fetch (includes PC increent) ID Instruction Decode + fetching values fro general purpose registers EXE EXEcute arithetic/logic

More information

M2 Instruction Set Architecture

M2 Instruction Set Architecture M2 Instruction Set Architecture Module Outline Addressing modes. Instruction classes. MIPS-I ISA. High level languages, Assembly languages and object code. Translating and starting a program. Subroutine

More information

GOPALAN COLLEGE OF ENGINEERING AND MANAGEMENT Department of Computer Science and Engineering COURSE PLAN

GOPALAN COLLEGE OF ENGINEERING AND MANAGEMENT Department of Computer Science and Engineering COURSE PLAN Appendix - C GOPALAN COLLEGE OF ENGINEERING AND MANAGEMENT Department of Computer Science and Engineering Academic Year: 2016-17 Semester: EVEN COURSE PLAN Semester: V Subject Code& Name: 10CS63 & Compiler

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

Pipelined MIPS Datapath with Control Signals

Pipelined MIPS Datapath with Control Signals uction ess uction Rs [:26] (Opcode[5:]) [5:] ranch luor. Decoder Pipelined MIPS path with Signals luor Raddr at Five instruction sequence to be processed by pipeline: op [:26] rs [25:2] rt [2:6] rd [5:]

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to Copyright 1996 IEEE. Published in the Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques, October 21-23 1996, Boston, USA. Personal use of this material is permitted.

More information

Sinfonia: a new paradigm for building scalable distributed systems

Sinfonia: a new paradigm for building scalable distributed systems CS848 Paper Presentation Sinfonia: a new paradigm for building scalable distributed systems Aguilera, Merchant, Shah, Veitch, Karamanolis SOSP 2007 Presented by Somayyeh Zangooei David R. Cheriton School

More information

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Feb 28th, 2002 Our Questions about Tomasulo Questions about Tomasulo s Algorithm Is it optimal (can always produce the wisest instruction execution

More information

Chapter 10 And, Finally... The Stack

Chapter 10 And, Finally... The Stack Chapter 10 And, Finally... The Stack Stacks: An Abstract Data Type A LIFO (last-in first-out) storage structure. The first thing you put in is the last thing you take out. The last thing you put in is

More information

CS 250! VLSI System Design

CS 250! VLSI System Design CS 250! VLSI System Design Lecture 3 Timing 2014-9-4! Professor Jonathan Bachrach! slides by John Lazzaro TA: Colin Schmidt www-insteecsberkeleyedu/~cs250/ UC Regents Fall 2013/1014 UCB everything doesn

More information

mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut

mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut dthiebaut@smith.edu How are Integers Stored in Memory? 120 11F 11E 11D 11C 11B 11A 119 118 117 116 115 114 113 112 111

More information

Programming Languages (CS 550)

Programming Languages (CS 550) Programming Languages (CS 550) Mini Language Compiler Jeremy R. Johnson 1 Introduction Objective: To illustrate how to map Mini Language instructions to RAL instructions. To do this in a systematic way

More information

Issue 2.0 December EPAS Midi User Manual EPAS35

Issue 2.0 December EPAS Midi User Manual EPAS35 Issue 2.0 December 2017 EPAS Midi EPAS35 CONTENTS 1 Introduction 4 1.1 What is EPAS Desktop Pro? 4 1.2 About This Manual 4 1.3 Typographical Conventions 5 1.4 Getting Technical Support 5 2 Getting Started

More information

CSci 127: Introduction to Computer Science

CSci 127: Introduction to Computer Science CSci 127: Introduction to Computer Science hunter.cuny.edu/csci CSci 127 (Hunter) Lecture 3 13 September 2017 1 / 34 Announcements Welcome back to Assembly Hall, and thank you for your patience in our

More information

CSCI 510: Computer Architecture Written Assignment 2 Solutions

CSCI 510: Computer Architecture Written Assignment 2 Solutions CSCI 510: Computer Architecture Written Assignment 2 Solutions The following code does compution over two vectors. Consider different execution scenarios and provide the average number of cycles per iterion

More information

Ballard Power Systems

Ballard Power Systems Ballard Power Systems Ballard Power Systems Fuel Cells Current Status and Prospects for the Future David Musil, P. Eng. Project Engineer, Advanced Automotive Development March 30, 2006 Outline 1. Background

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

MaxxForce TM 11 High Pressure and Oil. Engine Systems

MaxxForce TM 11 High Pressure and Oil. Engine Systems 2004 2006 2007 MaxxForce TM 11 High Pressure and Oil System MaxxForce Diagnostics 13 Engine Systems A N AV I S TA R C O M PA N Y Study Study Guide Guide TMT-120717 Study Guide MaxxForce High TM Pressure

More information

Artificial Neural Network Based Modeling of Injection Pressure in Diesel Engines

Artificial Neural Network Based Modeling of Injection Pressure in Diesel Engines Artificial Neural Network Based Modeling of Injection Pressure in Diesel Engines MALI AKCAYOL, CAN CINAR, HIBRAHIM BULBUL, ALI KILICARSALAN 4 Deartment of Comuter Engineering, Gazi University, Maltee,

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

Lecture Secure, Trusted and Trustworthy Computing Trusted Execution Environments Intel SGX

Lecture Secure, Trusted and Trustworthy Computing Trusted Execution Environments Intel SGX 1 Lecture Secure, and Trustworthy Computing Execution Environments Intel Prof. Dr.-Ing. Ahmad-Reza Sadeghi System Security Lab Technische Universität Darmstadt (CASED) Germany Winter Term 2015/2016 Intel

More information

EDR Report Information

EDR Report Information EDR Report File Information Value VIN 5YJSA1H21EFP00000 Retrieval Date 2018/01/01 00:00:00 (UTC) Retrieval User Comments Sample Tesla Model S EDR Retrieval Program Information EDR Report Information Tesla

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

TRITON ERROR CODES ERROR CODE MODEL SERIES DESCRIPTION RESOLUTION

TRITON ERROR CODES ERROR CODE MODEL SERIES DESCRIPTION RESOLUTION 0 8100, 9100, 9600, 9610, 9615, 9640, No errors 9650, 9700, 9710, 9705, 9750, RL5000 (SDD),RL5000 (TDM), RT2000, 9800, MAKO, SuperScrip 1 9615 Unsolicited note channel 1 2 9615 Unsolicited note channel

More information

ERTRAC Vision Future Road Transport Prepared by the Executive Group in collaboration with the Working Group Leaders.

ERTRAC Vision Future Road Transport Prepared by the Executive Group in collaboration with the Working Group Leaders. ERTRAC Vision Future Road Transport 2050 Prepared by the Executive Group in collaboration with the Working Group Leaders. 1 11/12/2017 KEY TOPICS Ensure mobility in urban areas Environmental sustainability:

More information

A Personalized Highway Driving Assistance System

A Personalized Highway Driving Assistance System A Personalized Highway Driving Assistance System Saina Ramyar 1 Dr. Abdollah Homaifar 1 1 ACIT Institute North Carolina A&T State University March, 2017 aina Ramyar, Dr. Abdollah Homaifar (NCAT) A Personalized

More information

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Louis Bavoil, Principal Engineer Booth #223 - South Hall www.nvidia.com/gdc Full-Screen Pixel Shader SM TEX L2 DRAM CROP SM = Streaming

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

EPAS Desktop Pro Software User Manual

EPAS Desktop Pro Software User Manual Software User Manual Issue 1.10 Contents 1 Introduction 4 1.1 What is EPAS Desktop Pro? 4 1.2 About This Manual 4 1.3 Typographical Conventions 5 1.4 Getting Technical Support 5 2 Getting Started 6 2.1

More information

Warped-Compression: Enabling Power Efficient GPUs through Register Compression

Warped-Compression: Enabling Power Efficient GPUs through Register Compression WarpedCompression: Enabling Power Efficient GPUs through Register Compression Sangpil Lee, Keunsoo Kim, Won Woo Ro (Yonsei University*) Gunjae Koo, Hyeran Jeon, Murali Annavaram (USC) (*Work done while

More information

ZT-USB Series User Manual

ZT-USB Series User Manual ZT-USB Series User Manual Warranty Warning Copyright All products manufactured by ICP DAS are under warranty regarding defective materials for a period of one year, beginning from the date of delivery

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

12 Locomotive decoder LE135 Locomotive decoder LE135 1

12 Locomotive decoder LE135 Locomotive decoder LE135 1 12 Locomotive decoder LE135 Locomotive decoder LE135 1 for all repairs or replacements. Should the user desire to alter a Digital Plus Product, they should contact Lenz GmbH for prior authorization. Year

More information

EECS 583 Class 9 Classic Optimization

EECS 583 Class 9 Classic Optimization EECS 583 Class 9 Classic Optimization University of Michigan September 28, 2016 Generalizing Dataflow Analysis Transfer function» How information is changed by something (BB)» OUT = GEN + (IN KILL) /*

More information

Overcurrent protection

Overcurrent protection Overcurrent protection This worksheet and all related files are licensed under the Creative Commons Attribution License, version 1.0. To view a copy of this license, visit http://creativecommons.org/licenses/by/1.0/,

More information

CONNECTED PROPULSION - THE FUTURE IS NOW

CONNECTED PROPULSION - THE FUTURE IS NOW MOTOR & UMWELT 2018 ENGINE & ENVIRONMENT 2018 CONNECTED PROPULSION - THE FUTURE IS NOW Larry Nitz General Motors 9 We re at a transformative time in automotive history, but a lot of innovation is already

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

Design and Experimental Study on Digital Speed Control System of a Diesel Generator

Design and Experimental Study on Digital Speed Control System of a Diesel Generator Research Journal of Applied Sciences, Engineering and Technology 6(14): 2584-2588, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: December 28, 2012 Accepted: February

More information

TRIPS AND FAULT FINDING

TRIPS AND FAULT FINDING WWW.SDS.LTD.UK 0117 9381800 Trips and Fault Finding Chapter 6 6-1 TRIPS AND FAULT FINDING Trips What Happens when a Trip Occurs When a trip occurs, the drive s power stage is immediately disabled causing

More information

AN RPM to TACH Counts Conversion. 1 Preface. 2 Audience. 3 Overview. 4 References

AN RPM to TACH Counts Conversion. 1 Preface. 2 Audience. 3 Overview. 4 References AN 17.4 RPM to TACH Counts Conversion 1 Preface 2 Audience 3 Overview 4 References This application note provides look up tables for the calculation of RPM to TACH Counts for use with the EMC2103, EMC2104,

More information

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

L (LK9, LQ8) when use in: Saab 9-3

L (LK9, LQ8) when use in: Saab 9-3 NOTE: Printing this file may require 8.5" x 14" (legal size) paper, depending on your printer setup. Catalytic Converter Monitoring P0420 Time for Rear O2 sensor signal Time for rear O2 to go low. Value

More information

2018 Linking Study: Predicting Performance on the NSCAS Summative ELA and Mathematics Assessments based on MAP Growth Scores

2018 Linking Study: Predicting Performance on the NSCAS Summative ELA and Mathematics Assessments based on MAP Growth Scores 2018 Linking Study: Predicting Performance on the NSCAS Summative ELA and Mathematics Assessments based on MAP Growth Scores November 2018 Revised December 19, 2018 NWEA Psychometric Solutions 2018 NWEA.

More information

FabComp: Hardware specication

FabComp: Hardware specication Sol Boucher and Evan Klei CSCI-453-01 04/28/14 FabComp: Hardware specication 1 Hardware The computer is composed of a largely isolated data unit and control unit, which are only connected by a couple of

More information

Digital Hand Controller. Manual

Digital Hand Controller. Manual Digital Hand Controller Manual Authors: Dr.-Ing. T. Vaupel, D. Richter, M. Berger Translated by Wolfram Steinke Copyright Uhlenbrock Elektronik GmbH, Bottrop 3rd Edition March 2004 All Rights Reserved

More information

Bringing ARB_gpu_shader_fp64 to Intel GPUs

Bringing ARB_gpu_shader_fp64 to Intel GPUs Bringing ARB_gpu_shader_fp64 to Intel GPUs Iago Toral Quiroga XDC 2016 Helsinki, Finland ARB_gpu_shader_fp64 Overview Scope Intel implementation NIR i965 Current status Contents ARB_gpu_shader_fp64

More information

UTILIZING WAVE ROTOR TECHNOLOGY TO ENHANCE THE TURBO COMPRESSION IN POWER AND REFRIGERATION CYCLES

UTILIZING WAVE ROTOR TECHNOLOGY TO ENHANCE THE TURBO COMPRESSION IN POWER AND REFRIGERATION CYCLES Proceedings of IMECE 3 3 ASME International Mechanical Engineering Congress & Exosition Washington, D.C., November -, 3 IMECE3- UTILIZING WAVE ROTOR TECHNOLOGY TO ENHANCE THE TURBO COMPRESSION IN POWER

More information

Linking the Alaska AMP Assessments to NWEA MAP Tests

Linking the Alaska AMP Assessments to NWEA MAP Tests Linking the Alaska AMP Assessments to NWEA MAP Tests February 2016 Introduction Northwest Evaluation Association (NWEA ) is committed to providing partners with useful tools to help make inferences from

More information

Commercial Systems Customer Support

Commercial Systems Customer Support 4 0 0 Collins Road NE C edar Rapids, IA 52498 OPSB 0166-17R1 Date: October 23, 2017 To: Operators of Rockwell Collins Flight Management Systems Pro Line 4 and Pro Line 21 FMS 3.3.x through FMS 4.x From:

More information

Electronic TRACTION CONTROL

Electronic TRACTION CONTROL WWW.MORETRACTION.COM TMS-Drag-Sportsman-MAP Electronic TRACTION CONTROL US PATENT 6,577,944 Other Patents Pending COPYRIGHT NOTICE Copyright 1999-2013 Davis Technologies, LLC. All rights reserved. Information

More information

Enhancing Energy Efficiency of Database Applications Using SSDs

Enhancing Energy Efficiency of Database Applications Using SSDs Seminar Energy-Efficient Databases 29.06.2011 Enhancing Energy Efficiency of Database Applications Using SSDs Felix Martin Schuhknecht Motivation vs. Energy-Efficiency Seminar 29.06.2011 Felix Martin Schuhknecht

More information

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems Chapter 8 Registers SKEE2263 igital Systems Mun im Zabidi {munim@utm.my} Ismahani Ismail {ismahani@fke.utm.my} Izam Kamisian {e-izam@utm.my} Faculty of Electrical Engineering, Universiti Teknologi Malaysia

More information

GC MERGE OF LIGHT ENDS WITH ASTM D7169 BOILING POINT DISTRIBUTION

GC MERGE OF LIGHT ENDS WITH ASTM D7169 BOILING POINT DISTRIBUTION GC MERGE OF LIGHT ENDS WITH ASTM D7169 BOILING POINT DISTRIBUTION Crude Oil Quality Association St. Louis, MO June 8, 2017 Arden Strycker, Ph.D. SGS North America Providing Solutions Across the Value Chain

More information

E/ECE/324/Rev.1/Add.50/Rev.3/Amend.2 E/ECE/TRANS/505/Rev.1/Add.50/Rev.3/Amend.2

E/ECE/324/Rev.1/Add.50/Rev.3/Amend.2 E/ECE/TRANS/505/Rev.1/Add.50/Rev.3/Amend.2 26 April 2018 Agreement Concerning the Adoption of Harmonized Technical United Nations Regulations for Wheeled Vehicles, Equipment and Parts which can be Fitted and/or be Used on Wheeled Vehicles and the

More information

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt 2001-05-11 1 Contents Introduction What is an AHS? Why use an AHS? System architecture Layers

More information

Linking the Florida Standards Assessments (FSA) to NWEA MAP

Linking the Florida Standards Assessments (FSA) to NWEA MAP Linking the Florida Standards Assessments (FSA) to NWEA MAP October 2016 Introduction Northwest Evaluation Association (NWEA ) is committed to providing partners with useful tools to help make inferences

More information

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted.

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Introduction Presenter Thomas Desbarats Business Development Simcenter System

More information

WWW.MORETRACTION.COM TMS-Drag-Pro-2 Electronic TRACTION CONTROL US PATENT 6,577,944 Other Patents Pending COPYRIGHT NOTICE Copyright 1999-2013 Davis Technologies, LLC. All rights reserved. Information

More information

JNC, JC, and JNZ Instructions for the WIMP51

JNC, JC, and JNZ Instructions for the WIMP51 JNC, JC, and JNZ Instructions for the WIMP51 EE 213 For the beginning of the project I looked up the Hex code for the JNC, JC, JNZ, as well as JZ so that I could compare with how it was created with the

More information