University Program Software Selection

Size: px
Start display at page:

Download "University Program Software Selection"

Transcription

1 Page 1 of 8 Level One Conformal - GXL CFM300 CONFRML172 Conformal Constraint Design L CFM401 CONFRML172 Conformal Constraint Designer - XL CFM421 CONFRML172 CCD Multi-Contraint Check Option CFM422 CONFRML172 Conformal Low Power - XL CFM500 CONFRML172 Conformal Low Power GXL CFM550 CONFRML172 Conformal ECO Designer GXL CFM650 CONFRML172 Virtuoso Quantus QRC Extraction - XL QRCX300 EXT172 Virtuoso Quantus QRC Advanced Analysis GXL option QRCX310 EXT172 Cadence Quantus QRC Advanced Modeling GXL Option QRCX320 EXT172 Cadence Quantus QRC Display Technology Option QRCX330 EXT172 Cadence Quantus QRC Advanced Modeling20 GXL Option QRCX520 EXT172 Cadence Quantus QRC Advanced Node Modeling Option QRCX530 EXT172 Genus Synthesis Solution GEN100 GENUS171 Genus Low Power Option GEN30 GENUS171 Genus Physical Option GEN40 GENUS171 Genus CPU Accelerator Option GEN80 GENUS171 Virtuoso Simulation Environment 206 IC617 Virtuoso AMS Designer Environment IC617 Virtuoso Analog Design Environment XL IC617 Virtuoso Analog Design Environment GXL IC617 Virtuoso Visualization & Analysis XL IC617 Virtuoso Implementation Aware Design Option IC617 Virtuoso Layout Suite EAD IC617 Virtuoso ADE Explorer IC617 Virtuoso ADE Assembler IC617 Virtuoso Variation Option IC617 Virtuoso ADE Verifier IC617 Virtuoso Schematic Editor HSPICE Interface 276 IC617 Virtuoso analog HSPICE HSPICE Interface IC617

2 Page 2 of 8 Cadence Framework Integration Runtime Option 117 IC617 Cadence SKILL Development Environment 900 IC617 Virtuoso Schematic VHDL Interface IC617 Virtuoso Schematic Editor Verilog Interface IC617 Virtuoso Schematic Editor XL IC617 Virtuoso Analog Oasis Run-Time Option IC617 Cadence OASIS for RFDE IC617 Virtuoso EDIF 200 Reader 940 IC617 Virtuoso EDIF 200 Writer 945 IC617 Cadence Design Framework Integrator s Toolkit IC617 Dracula Graphical User Interface 365 IC617 Dracula Physical Verification and Extractor Suite IC617 Diva Physical Verification and Extractor Suite IC617 Virtuoso Layout Suite - GXL IC617 Virtuoso DFM Option IC617 Voltus-Fi Custom Power Integrity Solution - XL VTS500 IC617 Virtuoso Stacked Die Option IC617 Virtuoso System Design Platform IC617 Virtuoso Advanced Node Framework ICADV123 Verifault XL Simulator INCISIV152 Verifault XL Slave Node License INCISIV152 Incisive Enterprise Simulator - XL INCISIV152 Enterprise Simulator - XL Interface for MTI INCISIV152 Enterprise Simulator - XL Interface for VCS INCISIV152 Incisive Formal Verifier INCISIV152 Incisive Enterprise Verifier XL IEV101 INCISIV152 Digital Mixed Signal Option to IES INCISIV152 Incisive Functional Safety Simulator INCISIV152 Incisive Advanced Option INCISIV152 Incisive Low-Power Simulation Option INCISIV152

3 Page 3 of 8 Virtuoso Digital Implementation 3002 INNOVUS171 Innovus 20/16/14nm Option INVS20 INNOVUS171 Innovus Mixed Signal Option INVS30 INNOVUS171 Innovus High Frequency Route Option INVS35 INNOVUS171 Innovus Hierarchical Design Option INVS40 INNOVUS171 Innovus CPU Accelerator Option INVS80 INNOVUS171 Innovus Implementation System INVS100 INNOVUS171 JasperGold Interactive Option JGINT100 JASPER1709 JasperGold Formal Property Verification APP JGFPV100 JASPER1709 JasperGold X-Propagation Verification APP JGXPR100 JASPER1709 JasperGold Connectivity Verification APP JGCON100 JASPER1709 JasperGold Coverage APP Option JGCOV100 JASPER1709 JasperGold CSR Verification APP JGCSR100 JASPER1709 JasperGold Formal Property Verification APP JGFPVOPT JASPER1709 JasperGold X-Propagation Verification APP JGXPROPT JASPER1709 JasperGold Connectivity Verification APP JGCONOPT JASPER1709 JasperGold CSR Verification APP JGCSROPT JASPER1709 JasperGold Automatic Formal Linting App JGAFL100 JASPER1709 JasperGold Coverage Unreachability App JGUNR100 JASPER1709 JasperGold Sequential Equivalency Checking APP JGSEC100 JASPER1709 Joules RTL Power Solution JLS100 JLS171 Virtuoso Liberate Server ALT110 LIBERATE161 Virtuoso Liberate Client ALT111 LIBERATE161 Virtuoso Liberate LV Server ALT610 LIBERATE161 Virtuoso Liberate LV Client ALT611 LIBERATE161 vmanager Project Server VMG100 MDV1704 vmanager Linux Client VMG005 MDV1704

4 Page 4 of 8 Modus ATPG MOD200 MODUS171 Modus DFT Option MOD30 MODUS171 Modus Hierarchical Option MOD60 MODUS171 Virtuoso LDE analyzer Option MVS172 Innovus DFM Option INVS50 MVS172 Litho Physical Analyzer LPA108 MVS172 Distributed Process for 8 CPUs LPA109 MVS172 Litho Electrical Analyzer LEA108 MVS172 Cadence Litho Hotspot Fixing Option LPA120 MVS172 Pcell Generator PASPCG PAS31 Graphical Technology Editor PASGTE PAS31 Generator for Assura compatible verification decks PASASG PAS31 Generator for Diva compatible verification decks PASDIG PAS31 Error Cell Generator PASECG PAS31 Cadence QuickView Layout and Mask Data Viewer K2200 PVS161 Cadence QuickView Layout Data Viewer K2210 PVS161 Cadence QuickView Sign-off Data Analysis Environment K2211 PVS161 Cadence Physical Verification System Design Rule Checker XL PVS161 Cadence Physical Verification System Layout vs. Schematic Checker XL PVS161 Cadence Physical Verification System Programmable Electrical Checker PVS161 Cadence Physical Verification System Programmable Electrical Checker XL PVS161 Cadence Physical Verification System Results Manager PVS161 Cadence Physical Verification System Design Analysis Option PVS161 Cadence Physical Verification System QuickView Signoff Environment PVS161 Cadence Physical Verification System Constraint Validator PVS161 Cadence Physical Verification System Constraint Validator XL PVS161 Cadence Physical Verification System Advanced Device Option PVS161 Cadence Physical Verification System Advanced Analysis Option PVS161 Cadence Physical Verification System Pattern Matching Option PVS161 Cadence Physical Verification System Mask Rule Check Option PVS161 Virtuoso Integrated Physical Verification System Option for Layout Suite PVS161

5 Page 5 of 8 Allegro Sigrity SI Base PA5700 SIGRITY2017 Allegro Sigrity Power Aware SI Option SIGR915 SIGRITY2017 Allegro Sigrity System Serial Link Option SIGR935 SIGRITY2017 Allegro Sigrity Package Assessment and Extraction Option SIGR945 SIGRITY2017 Allegro Sigrity PKG-PCB SSO Voltus Suite SIGR925 SIGRITY2017 Allegro PCB Designer PA3100 SPB172 Allegro PCB High-Speed Option PA3110 SPB172 Allegro PCB Miniaturization Option PA3120 SPB172 Allegro PCB Team Design Option PA3410 SPB172 Allegro PCB Analog/RF Option PA3420 SPB172 Allegro PCB Design Planning Option PA3670 SPB172 Allegro Sigrity PI Base PA5800 SPB172 Allegro Design Authoring High-Speed Option PA1410 SPB172 Allegro Design Authoring Multi-Style Option PA1510 SPB172 Allegro Design Authoring Team Design Option PA1720 SPB172 Cadence 3D Design Viewer PA6605 SPB172 Allegro PCB Routing Option PS3500 SPB172 Allegro PCB Librarian - XL PX3500 SPB172 Allegro Physical Viewer PX3600 SPB172 Allegro 2 FPGA System Planner Option PA8250 SPB172 Allegro ASIC Prototyping with FPGA s PA8630 SPB172 Cadence SiP Layout XL SIP225 SPB172 Allegro AMS Simulator 1 PS2200 SPB172 Allegro Pspice Systems Option PA4500 SPB172 Allegro PCB Symphony Team Design Option PA3160 SPB172 OrbitIO SIGR106 SPB172 Virtuoso Multi-mode Simulation Power Option SPECTRE161 Virtuoso Multi-mode Simulation CPU Accelerator option SPECTRE161 Virtuoso RelXpert SPECTRE161 Spectre Extensive Partitioned Simulator SPECTRE161 Spectre Characterization Simulator Option 3500 SPECTRE161 1 superset of Pspice and Advanced Analysis Option

6 Page 6 of 8 Spectre AMS Designer SPECTRES161 Spectre Multi-Mode Simulation with AMS SPECTRES161 Tempus Timing Signoff Solution L TPS100 SSV171 Tempus Timing Signoff Solution XL TPS200 SSV171 Tempus Timing Signoff Solution TSO TPS300 SSV171 Tempus Timing Signoff Solution MP TPS400 SSV171 Voltus- IC Power Integrity MP VTS300 SSV171 Voltus IC Power Integrity Solution-XL (VTS-XL) VTS200 SSV171 Voltus IC Power Integrity Solution Adv Analysis GXL Option (VTS-AA) VTS201 SSV171 Stratus HLS - XL STR101 STRATUS171 Xcelium Single Core X300 XCELIUM1710 Xcelium Digital Mixed Signal Option X310 XCELIUM1710 Cadence Simulation Analysis Environment (SimVision) XCELIUM1710 Indago Embedded Software Debug App XCELIUM1710

7 Page 7 of 8 Level Two Allegro Sigrity SI Base PA5700 SIGRITY2017 Allegro Sigrity Power Aware SI Option SIGR915 SIGRITY2017 Allegro Sigrity System Serial Link Option SIGR935 SIGRITY2017 Allegro Sigrity Package Assessment and Extraction Option SIGR945 SIGRITY2017 Allegro Sigrity PKG-PCB SSO Voltus Suite SIGR925 SIGRITY2017 Allegro PCB Designer PA3100 SPB172 Allegro PCB High-Speed Option PA3110 SPB172 Allegro PCB Miniaturization Option PA3120 SPB172 Allegro PCB Team Design Option PA3410 SPB172 Allegro PCB Analog/RF Option PA3420 SPB172 Allegro PCB Design Planning Option PA3670 SPB172 Allegro Sigrity PI Base PA5800 SPB172 Allegro Design Authoring High-Speed Option PA1410 SPB172 Allegro Design Authoring Multi-Style Option PA1510 SPB172 Allegro Design Authoring Team Design Option PA1720 SPB172 Cadence 3D Design Viewer PA6605 SPB172 Allegro PCB Routing Option PS3500 SPB172 Allegro PCB Librarian - XL PX3500 SPB172 Allegro Physical Viewer PX3600 SPB172 Allegro 2 FPGA System Planner Option PA8250 SPB172 Allegro ASIC Prototyping with FPGA s PA8630 SPB172 Cadence SiP Layout XL SIP225 SPB172 Allegro AMS Simulator 1 PS2200 SPB172 Allegro Pspice Systems Option PA4500 SPB172 Allegro PCB Symphony Team Design Option PA3160 SPB172 OrbitIO SIGR106 SPB172 1 superset of Pspice and Advanced Analysis Option

8 Page 8 of 8 Changes made for this year: Discontinued products Replacement products Virtuoso Layout Suite - GXL Virtuoso Layout Suite - GXL IEV102 Incisive Coverage Unreachability App JGUNR100 JasperGold Coverage Unreachability App AMS Designer with Flexible Analog Spectre AMS Designer Simulation Virtuoso Multi-Mode Simulation with Spectre Multi-Mode Simulation with AMS Spectre XPS Virtuoso EAD 3D Precision Solver Virtuoso Layout Suite EAD Virtuoso EAD Advanced Electrical Virtuoso Layout Suite EAD Analysis Incisive Advanced HAL Option JGAFL100 JasperGold Automatic Formal Linting App New products added this year: Product # Product Name Virtuoso Stacked Die Option Virtuoso System Design Platform JLS100 Joules RTL Power Solution PA3160 Allegro PCB Symphony Team Design Option SIGR106 ObitIO X300 Xcelium Single Core X310 Xcelium Digital Mixed Signal Option JGSEC100 JasperGold Sequential Equivalency Checking APP

University Program Software Selection

University Program Software Selection Level One Conformal - GXL Conformal Constraint Design - L Conformal Constraint Design - XL CCD Multi-Constraint Check option Conformal Low Power - XL Conformal Low Power GXL Conformal ECO Designer Virtuoso

More information

Allegro Sigrity SI / PI Overview

Allegro Sigrity SI / PI Overview Allegro Sigrity SI / PI Overview Brad Griffin Allegro Product Marketing February, 2015 1 2012 Cadence Design Systems, Inc. All rights reserved. Agenda Allegro Sigrity Signal Integrity Solutions Allegro

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

Chapter 1: Battery management: State of charge

Chapter 1: Battery management: State of charge Chapter 1: Battery management: State of charge Since the mobility need of the people, portable energy is one of the most important development fields nowadays. There are many types of portable energy device

More information

ASIC Design (7v81) Spring 2000

ASIC Design (7v81) Spring 2000 ASIC Design (7v81) Spring 2000 Lecture 1 (1/21/2000) General information General description We study the hardware structure, synthesis method, de methodology, and design flow from the application to ASIC

More information

IBIS Connector Models: Facts vs. Fiction

IBIS Connector Models: Facts vs. Fiction IBIS Connector Models: Facts vs. Fiction TecPreview Jim Nadolny Corey Kimble Slide 1 Outline Background Samtec Model Requests Simulation Tools Connector Models SPICE vs. IBIS vs. S-parameter Syntax differences

More information

Cadence Allegro Design Entry Hdl Reference Guide READ ONLINE

Cadence Allegro Design Entry Hdl Reference Guide READ ONLINE Cadence Allegro Design Entry Hdl Reference Guide READ ONLINE If you are looking for a book Cadence allegro design entry hdl reference guide in pdf form, then you've come to loyal website. We presented

More information

DARE+ DARE+ Design Against Radiation Effects (Digital) Cell Libraries. Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011

DARE+ DARE+ Design Against Radiation Effects (Digital) Cell Libraries. Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011 DARE+ Design Against Radiation Effects (Digital) Cell Libraries Jupiter Icy Moons Explorer (JUICE) Instruments Workshop 9 November 2011 Objectives (1/2) Provide a suitable and mixed-signal capable microelectronic

More information

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

Cadence PCB Editors QIR1 Update. Jim Frey, Hemant Shah Chelmsford

Cadence PCB Editors QIR1 Update. Jim Frey, Hemant Shah Chelmsford Cadence PCB Editors 17.2-2016 QIR1 Update Jim Frey, Hemant Shah Chelmsford September 9, 2016 Cadence PCB Editor 17.2 QIR1 improvements Interactive 3D canvas Concurrent Team Design improvements Chip on

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer mmax Power Management Chip Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer 1 Outline Design Goals and Challenges Power Management IC Design Maximum Power Point Tracking (MPPT) Implementation

More information

Integrated Circuit for Battery Management Systems in ISO26262 compliant vehicles

Integrated Circuit for Battery Management Systems in ISO26262 compliant vehicles EVS28 KINTEX, Korea, May 3-6, 2015 Integrated Circuit for Battery Management Systems in ISO26262 compliant vehicles Karl Vestin Lithium Balance A/S, Baldershøj 26C, 2635 Ishøj, Denmark, k.vestin@lithiumbalance.com

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

Is Power State Table(PST) Golden?

Is Power State Table(PST) Golden? February 28 March 1, 2012 Is Power State Table(PST) Golden? By Ankush Bagotra, Neha Bajaj, Harsha Vardhan R&D Engineer, CAE, CAE Synopsys Inc. Overview Low Power Design Today Unified Power Format (UPF)

More information

AcuBMS Battery Management System for Rechargeable Lithium-Based Batteries ELECOMP Capstone Design Project

AcuBMS Battery Management System for Rechargeable Lithium-Based Batteries ELECOMP Capstone Design Project AcuBMS Battery Management System for Rechargeable Lithium-Based Batteries ELECOMP Capstone Design Project 2018-2019 Sponsoring Company: Acumentrics, Inc 10 Walpole Park South Walpole, MA 02081 1-617-935-7877

More information

IME TSI Consortium Industry Forum

IME TSI Consortium Industry Forum Institute of Microelectronics IME TSI Consortium Industry Forum 2.5D Heterogeneous Integration on Through Silicon Interposers 17 th August 2012 1 IME Industry Forum on 2.5D Through Si Interposer (TSI)

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

Vehicle Diagnostic Logging Device

Vehicle Diagnostic Logging Device UCCS SENIOR DESIGN Vehicle Diagnostic Logging Device Design Requirements Specification Prepared by Mackenzie Lowrance, Nick Hermanson, and Whitney Watson Sponsor: Tyson Hartshorn with New Planet Technologies

More information

Resilient-EGI Prototype Pilot: Common Application Space Prototype Pilot

Resilient-EGI Prototype Pilot: Common Application Space Prototype Pilot Resilient-EGI Prototype Pilot: Common Application Space Prototype Pilot Robert P. decourcy Jeffrey Wallace 1, Sara J. Kambouris 1, Jacob Campbell 2, Christopher Garrett 3, M. Dean Garvey 1 1-Infinite Dimensions

More information

ZC706 MIG Design Creation November 2014

ZC706 MIG Design Creation November 2014 ZC706 MIG Design Creation November 2014 XTP244 Revision History Date Version Description 11/24/14 10.0 Regenerated for 2014.4. 10/08/14 9.0 Regenerated for 2014.3. 06/09/14 8.0 Regenerated for 2014.2.

More information

IMEC 2010 RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY

IMEC 2010 RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY RADIATION HARDENED MIXED- SIGNAL IP WITH DARE TECHNOLOGY OUTLINE Introduction DARE+ activity DARE legacy Analog IP portfolio DARE technology porting SOC design Analog rad-hard design methodology 2 AMICSA

More information

SEDONA FRAMEWORK BEST OPPORTUNITY FOR OPEN CONTROL

SEDONA FRAMEWORK BEST OPPORTUNITY FOR OPEN CONTROL Next- Generation Hardware Technology SEDONA FRAMEWORK BEST OPPORTUNITY FOR OPEN CONTROL ZACH NETSOV PRODUCT SPECIALIST, CONTEMPORARY CONTROLS May 9, 2017 THE NEED FOR OPEN CONTROLLERS Open protocols such

More information

NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal

NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal NR Electric Uses RT-LAB Real-time Simulator to Test the Control and Protection System for the Zhoushan Multiterminal MMC-HVDC Project Located in Zhoushan, Zhejiang Province, China, the Zhoushan MMC-HVDC

More information

Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC

Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC Real-Time Modelica Simulation on a Suse Linux Enterprise Real Time PC Arno Ebner Martin Ganchev Helmut Oberguggenberger Franz Pirker Arsenal Research Giefinggasse 2 1210 Vienna Austria arno.ebner@arsenal.ac.at

More information

Managing Projects Teaching materials to accompany:

Managing Projects Teaching materials to accompany: Managing Projects Teaching materials to accompany: Product Design and Development Chapter 14 Karl T. Ulrich and Steven D. Eppinger 2nd Edition, Irwin McGraw-Hill, 2000. Product Development Process Planning

More information

End-To-End Cell Pack System Solution: Rechargeable Lithium-Ion Battery

End-To-End Cell Pack System Solution: Rechargeable Lithium-Ion Battery White Paper End-To-End Cell Pack System Solution: Industry has become more interested in developing optimal energy storage systems as a result of increasing gasoline prices and environmental concerns.

More information

ZC706 MIG Design Creation November 2015

ZC706 MIG Design Creation November 2015 ZC706 MIG Design Creation November 2015 XTP244 Revision History Date Version Description 11/24/15 14.0 Regenerated for 2015.4. 10/06/15 13.0 Regenerated for 2015.3. 06/30/15 12.0 Regenerated for 2015.2.

More information

KNIME Server Workshop

KNIME Server Workshop KNIME Server Workshop KNIME.com AG 2017 KNIME.com AG. All Rights Reserved. Agenda KNIME Products Overview 11:30 11:45 KNIME Analytics Platform Collaboration Extensions Performance Extensions Productivity

More information

MODEL BASED DESIGN OF HYBRID AND ELECTRIC POWERTRAINS Sandeep Sovani, Ph.D. ANSYS Inc.

MODEL BASED DESIGN OF HYBRID AND ELECTRIC POWERTRAINS Sandeep Sovani, Ph.D. ANSYS Inc. MODEL BASED DESIGN OF HYBRID AND ELECTRIC POWERTRAINS Sandeep Sovani, Ph.D. ANSYS Inc. October 22, 2013 SAE 2013 Hybrid Powertrain Complexity And Maintainability Symposium Acknowledgements: Scott Stanton,

More information

System Level Design Review

System Level Design Review System Level Design Review HABIP High Altitude Balloon Instrumentation Platform P17104 & P17105 October 6, 2016 Team Members Team Communications Data Acquisition and Control Systems Team Member Major Team

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Siemens Centre of Excellence Course Plan

Siemens Centre of Excellence Course Plan Siemens Skill Development Initiatives Industry Relevant Skill Development Program for Gujarat Siemens Centre of Excellence Course Plan Page 1 Table of Contents Labs in the Siemens COE... 3 Courses offered

More information

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Dipl.-Ing. Sven Altmann Dr.-Ing. Ulrich Donath Fraunhofer-Institut Integrierte Schaltungen Branch Lab Design Automation

More information

Technologies for ToT & Power Electronics Test Facility. under NaMPET-II CDAC, Thiruvananthapuram

Technologies for ToT & Power Electronics Test Facility. under NaMPET-II CDAC, Thiruvananthapuram Technologies for ToT & Power Electronics Test Facility under NaMPET-II CDAC, Thiruvananthapuram 1 OUTLINE Technologies for ToT Power Electronics Test Facility (PETF) 2 Technology Developed 1. Miniature

More information

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation 1 AVL SERIES BATTERY BENCHMARKING Getting from low level parameter to target orientation CONTENTS OVERVIEW 1. AVL Introduction 2. Focus Series Battery Benchmarking 3. Benchmarking process 4. Target comparability

More information

Integrated System Models Graph Trace Analysis Distributed Engineering Workstation

Integrated System Models Graph Trace Analysis Distributed Engineering Workstation Integrated System Models Graph Trace Analysis Distributed Engineering Workstation Robert Broadwater dew@edd-us.com 1 Model Based Intelligence 2 Integrated System Models Merge many existing, models together,

More information

Frequently Asked Questions: EMC Captiva 7.5

Frequently Asked Questions: EMC Captiva 7.5 Frequently Asked Questions: EMC Captiva 7.5 Table of Contents What s New? Captiva Web Client Capture REST Services Migration/Upgrades Deprecated Modules Other Changes More Information What s New? Question:

More information

PRODUCT DESCRIPTIONS AND METRICS

PRODUCT DESCRIPTIONS AND METRICS PRODUCT DESCRIPTIONS AND METRICS Adobe PDM - AEM 5.6.1 Subscription OnPremise (2013v3) The Products and Services described in this PDM are subject to the applicable Sales Order, the terms of this PDM,

More information

Solution-processed carbon nanotube thin-film complementary static random access memory

Solution-processed carbon nanotube thin-film complementary static random access memory Solution-processed carbon nanotube thin-film complementary static random access memory Michael L. Geier, Julian J. McMorrow, Weichao Xu, Jian Zhu, Chris H. Kim, Tobin J. Marks, and Mark C. Hersam * *Corresponding

More information

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Vijayalayan R, Senior Team Lead, Control Design Application Engineering, MathWorks India Pvt Ltd

More information

Final Design Review Outline

Final Design Review Outline ydrofly: Fuel Cell Project Final Design Review Outline Group Members: -Adam Lint -Chris Cockrell -Dan ubbard ponsors: -Dr. erb ess -Dr. Brian Johnson Project Objectives Project Introduction Project tatus

More information

Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold

Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold Neeta Verma Teradyne, Inc. 880 Fox Lane San Jose, CA 94086 neeta.verma@teradyne.com ABSTRACT The automatic test equipment designed

More information

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB is a leader in power and automation technologies that enable utility and industry customers to improve

More information

What s Cooking. Bernd Wiswedel KNIME KNIME.com AG. All Rights Reserved.

What s Cooking. Bernd Wiswedel KNIME KNIME.com AG. All Rights Reserved. What s Cooking Bernd Wiswedel KNIME 2017 KNIME.com AG. All Rights Reserved. Outline KNIME as an open (source) platform What s Cooking Speech Recognition H2O Integration Cloud Connectors & Offerings Guided

More information

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1 Five Cool Things You Can Do With Powertrain Blockset Mike Sasena, PhD Automotive Product Manager 2017 The MathWorks, Inc. 1 FTP75 Simulation 2 Powertrain Blockset Value Proposition Perform fuel economy

More information

Experience Report: Applying and Introducing TSP to Electronic Design Automation

Experience Report: Applying and Introducing TSP to Electronic Design Automation Experience Report: Applying and Introducing TSP to Electronic Design Automation Elias Fallon, Engineering Director TSP Symposium 2012 St. Petersburg, FL September 20 th, 2012 Agenda Introduction: Electronic

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic MPEN 411 VLSI Digital ircuits Spring 2012 Lecture 06: Static MOS Logic [dapted from Rabaey s Digital Integrated ircuits, Second Edition, 2003 J. Rabaey,. handrakasan,. Nikolic] Sp12 MPEN 411 L06 S.1 Review:

More information

Dr. Daho Taghezout applied magnetics (CH 1110 Morges)

Dr. Daho Taghezout applied magnetics (CH 1110 Morges) EMR 11 Lausanne July 2011 Joint Summer School EMR 11 Energetic Macroscopic Representation Dr. Daho Taghezout applied magnetics (CH 1110 Morges) magnetics@bluewin.ch - Outline - EMR 11, Lausanne, July 2011

More information

Mellivora: A Battery Experiment

Mellivora: A Battery Experiment Mellivora: A Battery Experiment Overview Team Introduction Problem Our Approach Technological Innovations Design Alternatives Design Specifications Block Diagram Individual Subsystems MDR Deliverables

More information

Performance Analysis with Vampir

Performance Analysis with Vampir Performance Analysis with Vampir Bert Wesarg Technische Universität Dresden Outline Part I: Welcome to the Vampir Tool Suite Mission Event trace visualization Vampir & VampirServer The Vampir displays

More information

Spark. The open source ECU project

Spark. The open source ECU project Spark The open source ECU project Who We Are Originally established as a spin-off of University of Bologna, Alma Automotive represents the synergy between knowledge acquired in academic research activities

More information

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency : New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency Joanna Suresh, Product Manager, SICK, Inc. Agenda : Introduction : Selection of encoders - The

More information

Offshore Application of the Flywheel Energy Storage. Final report

Offshore Application of the Flywheel Energy Storage. Final report Page of Offshore Application of the Flywheel Energy Storage Page 2 of TABLE OF CONTENTS. Executive summary... 2 2. Objective... 3 3. Background... 3 4. Project overview:... 4 4. The challenge... 4 4.2

More information

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Scott McMorrow, Director of Engineering Page 1 Modeled Section MPS Board MPT Board Power Via Power Via Power

More information

Alan Kilian Spring Design and construct a Holonomic motion platform and control system.

Alan Kilian Spring Design and construct a Holonomic motion platform and control system. Alan Kilian Spring 2007 Design and construct a Holonomic motion platform and control system. Introduction: This project is intended as a demonstration of my skills in four specific areas: Power system

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Huf Group. Your Preferred Partner for Tire Pressure Monitoring Systems. IntelliSens App

Huf Group. Your Preferred Partner for Tire Pressure Monitoring Systems. IntelliSens App IntelliSens App For Android & ios devices Revision 2.0 17.10.2016 Overview Function flow... 3 HC1000... 4 First Steps... 5 How to Read a Sensor... 7 How to Program a Sensor... 10 Program a Single Universal

More information

HIL for power electronics and power systems with National

HIL for power electronics and power systems with National Hardware-in-the-Loop (HIL) Specialty HIL for power electronics and power systems with National Instruments www.opal-rt.com introduction For over 20 years, OPAL-RT HIL Simulators have been used by engineers

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. EV recharging ecosystem and services for a sustainable e_mobility

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility. EV recharging ecosystem and services for a sustainable e_mobility European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany EV recharging ecosystem and services for a sustainable e_mobility

More information

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

GRID MODERNIZATION INITIATIVE PEER REVIEW GMLC Control Theory

GRID MODERNIZATION INITIATIVE PEER REVIEW GMLC Control Theory GRID MODERNIZATION INITIATIVE PEER REVIEW GMLC 1.4.10 Control Theory SCOTT BACKHAUS (PI), KARAN KALSI (CO-PI) April 18-20 Sheraton Pentagon City Arlington, VA System Operations, Power Flow, and Control

More information

Momentu. Brake-by-Wire Gathers. HIL Test System for Developing a 12-V Brake-by-Wire System BRAKE-BY-WIRE SYSTEMS

Momentu. Brake-by-Wire Gathers. HIL Test System for Developing a 12-V Brake-by-Wire System BRAKE-BY-WIRE SYSTEMS PAGE 14 BRAKE-BY-WIRE SYSTS Brake-by-Wire Gathers omentu HIL Test System for Developing a 12-V Brake-by-Wire System PAGE 15 The future of the brake is electric (brake-bywire system). An electric motor

More information

Security. Hardware Specifications. Editor: Stephen Satchell. Version Security Hardware Specification version i Copyright 2012 INE, Inc.

Security. Hardware Specifications. Editor: Stephen Satchell. Version Security Hardware Specification version i Copyright 2012 INE, Inc. Security Hardware Specifications Editor: Stephen Satchell Version 5.006 Security Hardware Specification version 5.006 i Copyright 2012 INE, Inc. INE, Inc. 500 108th Ave NE Suite 510 Bellevue, WA 98004

More information

Use of Microgrids and DERs for black start and islanding operation

Use of Microgrids and DERs for black start and islanding operation Use of Microgrids and DERs for black start and islanding operation João A. Peças Lopes, FIEEE May 14 17, 17 Wiesloch The MicroGrid Concept A Low Voltage distribution system with small modular generation

More information

Software-innovations as key driver for a Green, Connected and Autonomous mobility

Software-innovations as key driver for a Green, Connected and Autonomous mobility Software-innovations as key driver for a Green, Connected and Autonomous mobility ARTEMIS-IA/ITEA-Co-Summit, Berlin, March 10 th 2015. Dr. Jutta Schneider, Director edrive & Software Technologies, Daimler

More information

Release Enhancements GXP Xplorer GXP WebView

Release Enhancements GXP Xplorer GXP WebView Release Enhancements GXP Xplorer GXP WebView GXP InMotionTM v2.3.3 An unrivaled capacity for discovery, visualization, and exploitation of mission-critical geospatial and temporal data The v2.3.3 release

More information

Kongsberg Digital SimConnect Fort Lauderdale, Florida

Kongsberg Digital SimConnect Fort Lauderdale, Florida Kongsberg Digital SimConnect 2017 Fort Lauderdale, Florida Vancouver, Canada June 8-9th June 14th K-Sim Navigation & K-Sim Offshore - introduction & training opportunities by Leif Eric Arneson, Kongsberg

More information

Afghanistan Energy Study

Afghanistan Energy Study Afghanistan Energy Study Universal Access to Electricity Prepared by: KTH-dESA Dubai, 11 July 2017 A research initiative supported by: 1 Outline Day 1. Energy planning and GIS 1. Energy access for all:

More information

MAX PLATFORM FOR AUTONOMOUS BEHAVIORS

MAX PLATFORM FOR AUTONOMOUS BEHAVIORS MAX PLATFORM FOR AUTONOMOUS BEHAVIORS DAVE HOFERT : PRI Copyright 2018 Perrone Robotics, Inc. All rights reserved. MAX is patented in the U.S. (9,195,233). MAX is patent pending internationally. AVTS is

More information

Tractor/Implement Electrification: Opportunities and Challenges

Tractor/Implement Electrification: Opportunities and Challenges Tractor/Implement Electrification: Opportunities and Challenges Prof. Dr. Jens Onno Krah, Cologne Univ. of Applied Sciences Dr. Joachim Sobotzik, Ag&Turf Global Platform Services, ETIC Mark Hambloch, PE

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 [Subtrack 2] Vehicle Dynamics Blockset 소개 김종헌부장 2015 The MathWorks, Inc. 2 Agenda What is Vehicle Dynamics Blockset? How can I use it? 3 Agenda What is Vehicle Dynamics Blockset?

More information

THERMAL MANAGEMENT SYNERGY THROUGH INTEGRATION PETE BRAZAS

THERMAL MANAGEMENT SYNERGY THROUGH INTEGRATION PETE BRAZAS THERMAL MANAGEMENT SYNERGY THROUGH INTEGRATION PETE BRAZAS 1 Propulsion System Trends Evolution of the TMM A Closer Look at Electrification System Integration Approach Outlook Powertrain Technology Roadmap

More information

Altair MotionView and ABAQUS for Direct Suspension Bushing Tuning

Altair MotionView and ABAQUS for Direct Suspension Bushing Tuning Altair MotionView and ABAQUS for Direct Suspension Bushing Tuning Jon Quigley, Mike White, Jeff Liu, Andy Rocha Altair Engineering, Inc. Automotive development engineers analytically tune suspension bushings

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

BASIC MECHATRONICS ENGINEERING

BASIC MECHATRONICS ENGINEERING MBEYA UNIVERSITY OF SCIENCE AND TECHNOLOGY Lecture Summary on BASIC MECHATRONICS ENGINEERING NTA - 4 Mechatronics Engineering 2016 Page 1 INTRODUCTION TO MECHATRONICS Mechatronics is the field of study

More information

80V 300Ah Lithium-ion Battery Pack Data Sheet

80V 300Ah Lithium-ion Battery Pack Data Sheet 80V 300Ah Lithium-ion Battery Pack Data Sheet 80 V, 300 amp-hour capacity, maintenance-free energy storage, IP65 design, fully integrated BMS, integrated fuse and safety relay protection, highly configurable

More information

System Modeling and Simulation

System Modeling and Simulation System Modeling and Simulation Trends and Enablers for Electrified Vehicle Systems Kazuhiro Kawamura ANSYS Japan 1 2014 ANSYS, Inc. October 7, 2014 Agenda 1. Today s Electrified Vehicle Systems Motivations

More information

Using Asta Powerproject in a P6 World. Don McNatty, PSP July 22, 2015

Using Asta Powerproject in a P6 World. Don McNatty, PSP July 22, 2015 Using Asta Powerproject in a P6 World Don McNatty, PSP July 22, 2015 1 Thank you for joining today s technical webinar Mute all call in phones are automatically muted in order to preserve the quality of

More information

PRODUCT DESCRIPTIONS AND METRICS

PRODUCT DESCRIPTIONS AND METRICS PRODUCT DESCRIPTIONS AND METRICS Adobe PDM - AEM 6.0: On-premise (2014v3) The Products and Services described in this Product Description and Metrics ( PDM ) document are subject to the applicable Sales

More information

Rapid generation of thermal-safe test schedules

Rapid generation of thermal-safe test schedules Rapid generation of thermal-safe test schedules Paul Rosinger, Bashir Al-Hashimi University of Southampton School of Electronics and Computer Science Southampton, SO17 1BJ, UK {pmr,bmah}@ecs.soton.ac.uk

More information

An Integrated Process for FDIR Design in Aerospace

An Integrated Process for FDIR Design in Aerospace An Integrated Process for FDIR Design in Aerospace Fondazione Bruno Kessler, Trento, Italy Benjamin Bittner, Marco Bozzano, Alessandro Cimatti, Marco Gario Thales Alenia Space,France Regis de Ferluc Thales

More information

Tools and Techniques for Ensuring Automotive EMC Performance and Reliability

Tools and Techniques for Ensuring Automotive EMC Performance and Reliability Tools and Techniques for Ensuring Automotive EMC Performance and Reliability Todd Hubing Michelin Professor of Vehicular Electronics Clemson University Automobiles are Complex Electronic Systems Navigation

More information

EC Type-Approval Certificate UK 2655 Revision 2

EC Type-Approval Certificate UK 2655 Revision 2 UK 2655 V(0)a EC Type-Approval Certificate UK 2655 Revision 2 Issued by: National Measurement Office Notified Body Number 0126 In accordance with the requirements of the Non-automatic Weighing Instruments

More information

PRODUCT DESCRIPTIONS AND METRICS

PRODUCT DESCRIPTIONS AND METRICS PRODUCT DESCRIPTIONS AND METRICS Adobe PDM - AEM 6.0: On-premise (2014v2) The Products and Services described in this Product Description and Metrics ( PDM ) document are subject to the applicable Sales

More information

Table of Contents. Abstract... Pg. (2) Project Description... Pg. (2) Design and Performance... Pg. (3) OOM Block Diagram Figure 1... Pg.

Table of Contents. Abstract... Pg. (2) Project Description... Pg. (2) Design and Performance... Pg. (3) OOM Block Diagram Figure 1... Pg. March 5, 2015 0 P a g e Table of Contents Abstract... Pg. (2) Project Description... Pg. (2) Design and Performance... Pg. (3) OOM Block Diagram Figure 1... Pg. (4) OOM Payload Concept Model Figure 2...

More information

Introduction to Abaqus/CAE. Abaqus 2018

Introduction to Abaqus/CAE. Abaqus 2018 Introduction to Abaqus/CAE Abaqus 2018 About this Course Course objectives Upon completion of this course you will be able to: Use Abaqus/CAE to create complete finite element models. Use Abaqus/CAE to

More information

Test & Validation Challenges Facing ADAS and CAV

Test & Validation Challenges Facing ADAS and CAV Test & Validation Challenges Facing ADAS and CAV Chris Reeves Future Transport Technologies & Intelligent Mobility Low Carbon Vehicle Event 2016 3rd Revolution of the Automotive Sector 3 rd Connectivity

More information

Connected SCOOTER SHARING

Connected SCOOTER SHARING Connected SCOOTER SHARING Scooter sharing The S02 electric scooter removable battery, connected and adapted for sharing mobility is now available: 2 With the Control and Communication Unit developed by

More information

Project Status Update AESIN-TTF Workshop - December 4 th 2017 Claire Lewis

Project Status Update AESIN-TTF Workshop - December 4 th 2017 Claire Lewis Project Status Update AESIN-TTF Workshop - December 4 th 2017 Claire Lewis The project will be trialling Mixed road types and speeds up to 70mph Functionality, Safety and Convenience - Both ITS-G5 802.11p

More information

FE Modeling and Analysis of a Human powered/electric Tricycle chassis

FE Modeling and Analysis of a Human powered/electric Tricycle chassis FE Modeling and Analysis of a Human powered/electric Tricycle chassis Sahil Kakria B.Tech, Mechanical Engg UCOE, Punjabi University Patiala, Punjab-147004 kakria.sahil@gmail.com Abbreviations: SAE- Society

More information

ME 455 Lecture Ideas, Fall 2010

ME 455 Lecture Ideas, Fall 2010 ME 455 Lecture Ideas, Fall 2010 COURSE INTRODUCTION Course goal, design a vehicle (SAE Baja and Formula) Half lecture half project work Group and individual work, integrated Design - optimal solution subject

More information

Automated Seat Belt Switch Defect Detector

Automated Seat Belt Switch Defect Detector pp. 10-16 Krishi Sanskriti Publications http://www.krishisanskriti.org/publication.html Automated Seat Belt Switch Defect Detector Department of Electrical and Computer Engineering, Sri Lanka Institute

More information

HYBRID POWER FOR TELECOM SITES

HYBRID POWER FOR TELECOM SITES HYBRID POWER FOR TELECOM SITES ARE YOU MAKING THE MOST OF YOUR ENERGY TO REDUCE OPEX? Energy costs can amount to 55-65% of total operating expenditure for mobile operators, yet many lack the tools they

More information

YSP Power Electronics Overview. Prof. Daniel Costinett June 10, 2014

YSP Power Electronics Overview. Prof. Daniel Costinett June 10, 2014 YSP Power Electronics Overview Prof. Daniel Costinett June 10, 2014 Voltage Levels 1V 10V 100V 10kV 1MV The War of the Currents DC + Low-loss transmission + Asynchronous + Used by electronics, batteries,

More information