Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Size: px
Start display at page:

Download "Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia"

Transcription

1 Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

2 Context Timing constraints of synchronous digital IC Timing constraints violation : - Overclocking - Underpowering - Overheating Experimental proof : - Uniqueness of the injection mechanism Conclusion 2

3 Context Many of our daily used electronic devices embed cryptographic features, Often targeted by malicious attackers, In-depth understanding of attack means permit to : - protect properly these devices. - simplify security characterization. 3

4 Fault attacks against cryptographic system M K C 4

5 Fault attacks against cryptographic system Perturbation M K C Faulted ciphertext Differential comparison 5

6 Common fault injection means Clock stress Power stress Overheating Laser beams EM injections 6

7 Common fault injection means Clock stress Power stress Overheating Laser beams EM injections Same mechanism? => Timing constraints violations. 7

8 Common fault injection means Clock stress Power stress Overheating Laser beams EM injections Same mechanism? => Timing constraints violations. This work : Experimental proof of the UNIQUENESS of the injection mechanism. 8

9 Experimental setup Injection experiments (10,000 different sets of data) Several injection means : clock, power supply, temperature, Target : hardware AES (Advenced Encryption Standard) 9

10 Upstream Downstream data 1 1 Logic D Q D Q 1 1 Dff i Dff i+1 clk 10

11 Upstream Downstream data 1 1 clk Logic D Q D D Q pmax Dff i Dff i+1 Dclk Q 1 1 T clk + T skew - su data arrival time = D clk Q + D pmax data required time = T clk + T skew - su 11

12 Upstream Downstream data 1 1 clk Logic D Q D D Q pmax Dff i Dff i+1 Dclk Q 1 1 T clk + T skew - su data arrival time = D clk Q + D pmax data required time = T clk + T skew - su T clk > D clk Q + D pmax - T skew + su 12

13 T clk > D clk Q + D pmax - T skew + su How to obtain a timing constraint violation? 13

14 T clk > D clk Q + D pmax - T skew + su How to obtain a timing constraint violation? T clk < D clk Q + D pmax - T skew + su Overclocking : (Frequency increasing) 14

15 T clk > D clk Q + D pmax - T skew + su How to obtain a timing constraint violation? T clk < D clk Q + D pmax - T skew + su Overclocking : (Frequency increasing) T clk < D clk Q + D pmax - T skew + su Underpowering : (Increasing the propagation time) Overheating : (Increasing the propagation time) 15

16 set-up hold Clk D clk Q Q upstream D pmax D downstream logic glitches D clk Q Q downstream 16 Timing constraint fulfilled

17 set-up hold Clk Dclk Q Q upstream D pmax D downstream Q downstream logic glitches D clk Q 1 OR 0? 17 Setup time violation (i.e. timing constraint violation) : metastability (non-deterministic)

18 set-up hold Clk Dclk Q Q upstream D pmax D downstream logic glitches D clk Q Q downstream 18 Timing constraint violation : Early latching (deterministic)

19 set-up hold Clk D clk Q Q upstream D pmax D downstream logic glitches D clk Q Q downstream 19 Timing constraint fulfilled

20 Perturbation set-up hold Clk D clk Q Q upstream D pmax D downstream Q downstream logic glitches D clk Q 1 OR 0? 20 Setup time violation (i.e. timing constraint violation) : metastability (non-deterministic)

21 Perturbation set-up hold Clk D clk Q Q upstream D pmax D downstream logic glitches D clk Q Q downstream 21 Timing constraint violation : Early latching (deterministic)

22 Planning Step by step overclocking stress until first fault: reference library generation. 10,000 trials with different plaintext and key. Step by step underpowering stress: comparison with the reference library. Step by step overheating stress: comparison with the reference library. 22

23 Target Algorithm : AES 128 bit (advanced encryption standard) Frequency : 100 MHz Power supply : 1.2V Platform : Spartan 3an 23

24 Serial COM trigger AES Clock generator Serial COM clock 24

25 Overclocking (reference lib) Library generated : 10,000 x {Plaintext, Key, Correct Cipher, First Faulted Cipher, Round, bit, Critical time} 25 > 90% single-bit faults.

26 Plaintext : Key : Cipher text : 57D2B485388BC6EC892217A34DBA548F 5E7A D63F8FEBD4E36982AEC0 B7B70AFC357202B2887F43C Overclocking results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Critical time : 7.9 ns bit n 51 - Round 8 26

27 Power supply Clock generator AES 27

28 Underpowering Voltage decreases => critical path increases. Critical Time (ps) 28 Power supply (Volt)

29 Underpowering Voltage decreases => critical path increases. Critical Time (ps) Metastability 29 Power supply (Volt)

30 Underpowering Voltage decreases => critical path increases. Critical Time (ps) Metastability Data dependence 30 Power supply (Volt)

31 Underpowering Voltage decreases => critical path increases. Critical Time (ps) The obtained faults over 10,000 trials by underpowering were found identical to those from the reference library. Metastability Data dependence 31 Power supply (Volt)

32 Plaintext : Key : Cipher text : 57D2B485388BC6EC892217A34DBA548F 5E7A D63F8FEBD4E36982AEC0 B7B70AFC357202B2887F43C Overclocking results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Critical time : 7.9 ns bit n 51 - Round 8 Underpowering results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Critical voltage : 1.03 V bit n 51 - Round 8 32

33 Heating system Clock generator AES 33

34 Overheating Temperature increases => critical path increases. Critical Time (ps) Data dependence Metastability The obtained faults over 10 trials by overheating were found identical to those from the reference library. 34 Temperature

35 Plaintext : Key : Cipher text : 57D2B485388BC6EC892217A34DBA548F 5E7A D63F8FEBD4E36982AEC0 B7B70AFC357202B2887F43C Overclocking results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Critical time : 7.9 ns bit n 51 - Round 8 Underpowering results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Critical voltage : 1.03 V bit n 51 - Round 8 Overheating results First Faulted Cipher text : B7B70AD13572B7B288EA43C86A Identical Faulted Cipher text Critical temperature : 129 C bit n 51 - Round 8 35

36 Injection experiments analysis Overclocking, Underpowering, Overheating. Identical faults : 100 % Metastability (stress increased progressively) Deterministic (same input => same first fault) Data dependence 36

37 Experimental proof: Overclocking, underpowering and overheating generate identical faulted cipher text. Fault injection due to timing constraints violations. Perspectives: Combined attacks feasible. Improved counter-measure design. Work in progress: Tests with transient perturbations. 37

38 38 Questions?

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure

Cardis When Clocks Fail: On Critical Paths and Clock Faults. Michel Agoyan Bruno Robisson Assia Tria. David Naccache Ecole Normale Supérieure Cardis 2010 The ninth Smart Card Research and Advanced Application IFIP Conference When Clocks Fail: On Critical Paths and Clock Faults Jean-Max Dutertre Michel Agoyan Bruno Robisson Assia Tria David Naccache

More information

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Jakub Breier, Xiaolu Hou and Yang Liu 10 September 2018 1 / 25 Table of Contents 1 Background and Motivation 2 Overview

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS

CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 127 CHAPTER 8 A LARGE BLOCK CIPHER HAVING A KEY ON ONE SIDE OF THE PLAINTEXT MATRIX AND ITS INVERSE ON THE OHTER SIDE AS MULTIPLICANTS 128 8.1. Introduction In a recent investigation, we have modified

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

IC Engine Control - the Challenge of Downsizing

IC Engine Control - the Challenge of Downsizing IC Engine Control - the Challenge of Downsizing Dariusz Cieslar* 2nd Workshop on Control of Uncertain Systems: Modelling, Approximation, and Design Department of Engineering, University of Cambridge 23-24/9/2013

More information

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder

Compatibility of STPA with GM System Safety Engineering Process. Padma Sundaram Dave Hartfelder Compatibility of STPA with GM System Safety Engineering Process Padma Sundaram Dave Hartfelder Table of Contents Introduction GM System Safety Engineering Process Overview Experience with STPA Evaluation

More information

How to generate the Sbox of Luffa

How to generate the Sbox of Luffa How to generate the Sbox of Luffa ESC2010@Remich (Jan.11.2010) Dai Watanabe SDL, Hitachi Luffa is a registered trademark of Hitachi, Ltd. 1 Outline Topic How to find an 4-bit sbox optimized for bit slice

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

Overview. Battery Monitoring

Overview. Battery Monitoring Wireless Battery Management Systems Highlight Industry s Drive for Higher Reliability By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corporation Overview

More information

B100S - Super Swing. Instruction Manual. Document number: B100S-C Release: V4.0 Date: May 08,2009

B100S - Super Swing. Instruction Manual. Document number: B100S-C Release: V4.0 Date: May 08,2009 B00S - Super Swing Instruction Manual Document number: B00S-C Release: V4.0 Date: May 08,009 ! WARNING This control must be adjusted/serviced by a qualified person. The service technician must be familiar

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

Logic module. Brief description. Features. Block structure. Data Sheet Page 1/5

Logic module. Brief description. Features. Block structure. Data Sheet Page 1/5 M. K. Juchheim GmbH & Co UK USA Jumo Instrument Co. Ltd. Jumo Process Control Inc. 36035 Fulda, Germany Temple Bank, Riverway 735 Fox Chase Phone (0661) 6003-0 Harlow, Essex CM20 2TT Coatesville, PA 19320

More information

Crystalfontz. Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ NT TFT LCD Source Driver V0.6.

Crystalfontz. Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ NT TFT LCD Source Driver V0.6. Crystalfontz Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ NT39411 TFT LCD Source Driver V0.6 Preliminary Spec 1 Index INDEX... 2 REVISE HISTORY... 3 FEATURES... 4 GENERAL

More information

EPE97 OPTIMIZED DESIGN OF VARIABLE-SPEED DRIVES BASED ON NUMERICAL SIMULATION

EPE97 OPTIMIZED DESIGN OF VARIABLE-SPEED DRIVES BASED ON NUMERICAL SIMULATION EPE97 OPTIMIZED DESIGN OF VARIABLE-SPEED DRIVES BASED ON NUMERICAL SIMULATION J.-J.Simond*, A.Sapin**, B.Kawkabani*, D.Schafer***, M.Tu Xuan*, B.Willy*** *Swiss Federal Institute of Technology, Electrical

More information

J.-J.Simond*, A.Sapin**, B.Kawkabani*, D.Schafer***, M.Tu Xuan*, B.Willy***

J.-J.Simond*, A.Sapin**, B.Kawkabani*, D.Schafer***, M.Tu Xuan*, B.Willy*** EPE97 OPTIMIZED DESIGN OF VARIABLE-SPEED DRIVES BASED ON NUMERICAL SIMULATION J.-J.Simond*, A.Sapin**, B.Kawkabani*, D.Schafer***, M.Tu Xuan*, B.Willy*** *Swiss Federal Institute of Technology, Electrical

More information

SiCan Simple CAN Extension Charger to PacMon

SiCan Simple CAN Extension Charger to PacMon SiCan Simple CAN Extension Charger to PacMon Provides and extension charger to PacMon. Drives CAN TC (Elcon) type chargers. Interfaces with the CMon, SiMon, and UMon. Programmable high and low (balance)

More information

D-25 Speed Advisory System

D-25 Speed Advisory System Report Title Report Date: 2002 D-25 Speed Advisory System Principle Investigator Name Pesti, Geza Affiliation Texas Transportation Institute Address CE/TTI, Room 405-H 3135 TAMU College Station, TX 77843-3135

More information

WP8: Engine Integrated SCR and combined SCR and DPF

WP8: Engine Integrated SCR and combined SCR and DPF WP8: Engine Integrated SCR and combined SCR and DPF Objectives Engine Integrated SCR Investigation of High Pressure SCR process; injection, mixing, decomposition and flow distribution with the aim of making

More information

F-4600 INLINE ULTRASONIC FLOW METER Installation and Operation Guide

F-4600 INLINE ULTRASONIC FLOW METER Installation and Operation Guide F-4600 INLINE ULTRASONIC FLOW METER Installation and Operation Guide 11451 Belcher Road South, Largo, FL 33773 USA Tel +1 (727) 447-6140 Fax +1 (727) 442-5699 1054-7 / 34405 www.onicon.com sales@onicon.com

More information

* NOTE: Legal in California only for racing vehicles which may never be used upon a highway

* NOTE: Legal in California only for racing vehicles which may never be used upon a highway Read and understand these instructions BEFORE attempting to install this product. Failure to follow installation instructions and not using the provided hardware may damage the intake tube, throttle body

More information

PumpSmart. Control Solutions

PumpSmart. Control Solutions Control Solutions Advanced pump Control, Protection, and Optimization logic designed to prevent failures, improve pump reliability and maximize the Flow Economy of your process systems. Whereas other vendors

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

QS 100 LSM Power Management

QS 100 LSM Power Management 990000717 Revision A Table of Contents Revision History...2 Overview...3 Soft Start not complete fault...3 Under voltage fault...4 Under voltage warning limit...5 Over voltage maximum limit...5 Over voltage

More information

Coriolis Density Error Compensating for Ambient Temperature Effects

Coriolis Density Error Compensating for Ambient Temperature Effects Coriolis Density Error Compensating for Ambient Temperature Effects Presented by Gordon Lindsay Oil & Gas Focus Group December 2018 Contents Project aims and objectives Experiment Setup Phase 1 Exploratory

More information

Transmission Grid Reinforcement with Embedded VSC-HVDC. Jonatan Danielsson, Sugam Patel, Jiuping Pan, Reynaldo Nuqui

Transmission Grid Reinforcement with Embedded VSC-HVDC. Jonatan Danielsson, Sugam Patel, Jiuping Pan, Reynaldo Nuqui Transmission Grid Reinforcement with Embedded VSC-HVDC Jonatan Danielsson, Sugam Patel, Jiuping Pan, Reynaldo Nuqui Outline Introduction HVDC-Light Transmission Technologies Embedded VSC-HVDC for AC Grid

More information

Selective Coordination Requirements

Selective Coordination Requirements Selective Coordination Requirements Background Selective coordination of all upstream overcurrent protective devices in the supplying circuit paths is required by the NEC for a limited number of specific

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD R 0 XC95288 In-System Programmable CPLD 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up to 166 user pins 5V in-system

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

Smart Grid Automation and Centralized FISR

Smart Grid Automation and Centralized FISR Smart Grid Automation and Centralized FISR March 21, 2016 Mike Colby Senior Engineer Distribution Control Center Smart Grid Automation and Centralized FISR Remote Controlled Devices & Communication Distribution

More information

N Revision A

N Revision A 6170-400N Revision A Diagraph, an ITW company, continually improves its products, and reserves the right to change or discontinue specifications and designs shown in this manual without notice and without

More information

Incorporating Drivability Metrics into Optimal Energy Management Strategies for Hybrid Vehicles. Daniel Opila

Incorporating Drivability Metrics into Optimal Energy Management Strategies for Hybrid Vehicles. Daniel Opila Incorporating Drivability Metrics into Optimal Energy Management Strategies for Hybrid Vehicles Daniel Opila Collaborators Jeff Cook Jessy Grizzle Xiaoyong Wang Ryan McGee Brent Gillespie Deepak Aswani,

More information

FULLY SYNCHRONOUS DESIGN By Serge Mathieu

FULLY SYNCHRONOUS DESIGN By Serge Mathieu 1- INTRODUCTION. By the end of my 30 years carreer in electronic design, I designed a few complex ASICS, like this high performance Powerline transceiver ASIC. See : http://www.arianecontrols.com/documents/ac-plm-1_user_manual.pdf

More information

Optimal Start Time: Precool and Preheat

Optimal Start Time: Precool and Preheat Metasys Network Technical Manual 636 Air Handlers Section Technical Bulletin Issue Date 0191 Optimal Start Time: Precool and Preheat Optimal start logic to determine the precool and preheat times for an

More information

development of hybrid electric vehicles

development of hybrid electric vehicles IPG Technology Conference Karlsruhe 2012 A multi physical simulation architecture to support the development of hybrid electric vehicles James Chapman CAE Simulation Group Jaguar Land Rover Embedded Systems

More information

( DOC No. HX8705-B-DS ) HX8705-B

( DOC No. HX8705-B-DS ) HX8705-B ( DOC No. HX8705-B-DS ) HX8705-B 800x600CH EPD Source+Gate Driver Preliminary version 01 800x600CH EPD Source+Gate Driver Preliminary Version 01 1. General Description The HX8705-B is a 800-channel outputs

More information

Real-time Simulation of Electric Motors

Real-time Simulation of Electric Motors Real-time Simulation of Electric Motors SimuleD Developments in the electric drive-train have the highest priority, but all the same proven development methods are not consequently applied. For example

More information

CIPHERING & DECIPHERING UNITS

CIPHERING & DECIPHERING UNITS CIPHERING & DECIPHERING UNITS High throughput Ciphering / Deciphering Unit Telecommand Deciphering Unit HIGH THROUGHPUT CIPHERING / DECIPHERING UNIT Satellite Telecommand / Telemeasure Protection, Spreading

More information

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration National Aeronautics and Space Administration NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration Anne M. McNelis NASA Glenn Research Center Presentation

More information

SWIRL MEASURING EQUIPMENT FOR DIRECT INJECTION DIESEL ENGINE

SWIRL MEASURING EQUIPMENT FOR DIRECT INJECTION DIESEL ENGINE SWIRL MEASURING EQUIPMENT FOR DIRECT INJECTION DIESEL ENGINE G.S.Gosavi 1, R.B.Solankar 2, A.R.Kori 3, R.B.Chavan 4, S.P.Shinde 5 1,2,3,4,5 Mechanical Engineering Department, Shivaji University, (India)

More information

Analysis of Turbine Missile & Turbine-Generator Overspeed Protection System Failure Probability at NPPs: A case study from PSA perspective

Analysis of Turbine Missile & Turbine-Generator Overspeed Protection System Failure Probability at NPPs: A case study from PSA perspective Protection System Failure Probability at NPPs: A case study from D. Kančev, S. Heussen, J. U. Klügel, P. Drinovac, T. Kozlik NPP Goesgen-Daeniken AG, Kraftwerkstrasse CH-4658 Daeniken, Switzerland EDMS

More information

CCHMP Comments on ConocoPhillips Incident Report For 10/22/10 Flaring Event

CCHMP Comments on ConocoPhillips Incident Report For 10/22/10 Flaring Event CCHMP Comments on ConocoPhillips Incident Report For 10/22/10 Flaring Event The following comments relate to reviewing ConocoPhillip s RCA report received on 12/21/10. 1. The report identified that operators

More information

ULTRASONIC TESTING OF RAILWAY AXLES WITH PHASED ARRAY TECHNIQUE EXPERIENCES DURING OPERATION

ULTRASONIC TESTING OF RAILWAY AXLES WITH PHASED ARRAY TECHNIQUE EXPERIENCES DURING OPERATION ULTRASONIC TESTING OF RAILWAY AXLES WITH PHASED ARRAY TECHNIQUE EXPERIENCES DURING OPERATION W. Hansen 1, H. Hintze 2 1 GE Inspection Technologies, Hürth, Germany; 2 Deutsche Bahn AG, Kirchmöser; Germany

More information

Introduction to hmtechnology

Introduction to hmtechnology Introduction to hmtechnology Today's motion applications are requiring more precise control of both speed and position. The requirement for more complex move profiles is leading to a change from pneumatic

More information

Setup Tabs. Basic Setup: Advanced Setup:

Setup Tabs. Basic Setup: Advanced Setup: Setup Tabs Basic Setup: Password This option sets a password that MUST be entered to re-enter the system. Note: ProEFI can NOT get you into the calibration if you lose this password. You will have to reflash

More information

Performed by: Institute of Transportation Studies University of California, Irvine. Sponsored by: California Air Resources Board

Performed by: Institute of Transportation Studies University of California, Irvine. Sponsored by: California Air Resources Board Performed by: Institute of Transportation Studies University of California, Irvine Sponsored by: California Air Resources Board Progress Meeting Dec 3rd, 2012 Outline Task Schedule Proposed Task Modifications

More information

Selective Coordination

Selective Coordination Circuit Breaker Curves The following curve illustrates a typical thermal magnetic molded case circuit breaker curve with an overload region and an instantaneous trip region (two instantaneous trip settings

More information

CHAPTER 7 CONCLUSION

CHAPTER 7 CONCLUSION 125 CHAPTER 7 CONCLUSION 7.1 CONCLUSION Motors of rating less than 15 HP form 80 % of the motor population in India. In agriculture, the commonly used ratings of motors are 5 HP (3.7 kw) and 3 HP. The

More information

TRAVEL CORDSET AND WALL STATION TEST REPORT

TRAVEL CORDSET AND WALL STATION TEST REPORT GENERAL MOTORS TRAVEL CORDSET AND WALL STATION TEST REPORT REVISION 4 EVSE Model: Click here to enter text. Serial Number: Click here to enter text. Test Engineer: Click here to enter text. Date: Click

More information

High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths Λ

High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths Λ In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems ( Async2000 ), April 2 6, 2000, Eilat, Israel. High-Throughput Asynchronous Pipelines for Fine-Grain

More information

Shared Learning. SL027/18 Selection of Suitable Circuit Protection. 18-Jun-18 / 1

Shared Learning. SL027/18 Selection of Suitable Circuit Protection. 18-Jun-18 / 1 Shared Learning SL027/18 Selection of Suitable Circuit Protection 18-Jun-18 / 1 Background For a number of reasons the design process associated with the selection of circuit protective devices for signalling

More information

Slippage Detection and Traction Control System

Slippage Detection and Traction Control System Slippage Detection and Traction Control System May 10, 2004 Sponsors Dr. Edwin Odom U of I Mechanical Engineering Department Advisors Dr. Jim Frenzel Dr. Richard Wall Team Members Nick Carter Kellee Korpi

More information

Generation of a pool of variable size symmetric keys through Image

Generation of a pool of variable size symmetric keys through Image Generation of a pool of variable size symmetric keys through Image Prerna Garg B.S.A.I.T.M. Faridabad Haryana, India prerna.it.mittal@gmail.com Deepak Garg Senior Software engineer Stryker Global Technology

More information

100GE PCS Modeling. Oded Trainin, Hadas Yeger, Mark Gustlin. IEEE HSSG September 2007

100GE PCS Modeling. Oded Trainin, Hadas Yeger, Mark Gustlin. IEEE HSSG September 2007 100GE PCS Modeling Oded Trainin, Hadas Yeger, Mark Gustlin IEEE HSSG September 2007 How Random is the PCS Data? The Proposed 100G PCS has the concept of virtual lanes A 100G stream is scrambled and then

More information

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery

Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Low Carbon Technology Project Workstream 8 Vehicle Dynamics and Traction control for Maximum Energy Recovery Phil Barber CENEX Technical review 19 th May 2011 Overview of WS8 Workstream 8 was set up to

More information

Marwan Adas December 6, 2011

Marwan Adas December 6, 2011 Marwan Adas December 6, 2011 SPONGENT A Lighweight hash function SPONGENT = SPONGE + PRESENT + Unkeyed PRESENT- - - type permutation π: 4- bit S- box and bit diffusion Diagrams from www.spongent.com SPONGENT

More information

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test

Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test Trigger/Timing Logic Unit (TLU) for AIDA Beam-Test David Cussans, AIDA Kick-Off, February 2011 Outline Exiting EUDET JRA1 TLU Aims for Mini-AIDA-TLU (mtlu) Specification Schedule Cost Aims for AIDA-TLU

More information

Development: Server Vehicle Rendezvous

Development: Server Vehicle Rendezvous United States Out-of-Water Test Methods to Accelerate Implementation of Autonomous Rendezvous in the NPS ARIES AUV CAPT J.W. Nicholson, Ph.D. United States Development: Server Vehicle Rendezvous 350 300

More information

Grid Impact of Electric Vehicles with Secondary Control Reserve Capability

Grid Impact of Electric Vehicles with Secondary Control Reserve Capability Grid Impact of Electric Vehicles with Secondary Control Reserve Capability Thomas Degner, Gunter Arnold, Ron Brandl, Julian Dollichon, Alexander Scheidler Division System Technology and Distribution Grids

More information

4100C BOSS AC Shovel Drive System Overview. WMEA Edmonton - June 2008

4100C BOSS AC Shovel Drive System Overview. WMEA Edmonton - June 2008 4100C BOSS AC Shovel Drive System Overview WMEA Edmonton - June 2008 Overview Drives Partnership with ABB Deck Plan / One-Line Schematic ISU (IGBT Supply Unit) Shovel Motion Inverters Cabling / Grounding

More information

Design Specification. DDR2 UDIMM Enhanced Performance Profiles

Design Specification. DDR2 UDIMM Enhanced Performance Profiles Design Specification DDR2 UDIMM Enhanced Performance Profiles Document Change History REV Date Reason for Change 01 Initial Release i Design Specification Table of Contents Chapter 1. Enhanced Performance

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 SPEED SYNCHRONIZATION

More information

Development and validation of design rules to improve integrated circuit immunity before manufacturing

Development and validation of design rules to improve integrated circuit immunity before manufacturing Development and validation of design rules to improve integrated circuit immunity before manufacturing PhD Seminar - 17/11/2009 Mikael DEOBARRO (PhD-2) mikael.deobarro@freescale.com Freescale Semiconductor

More information

FS2 Valves. Solenoid Powered to Close / Auto Reset Butterfly Valves

FS2 Valves. Solenoid Powered to Close / Auto Reset Butterfly Valves FS2 Valves Solenoid Powered to Close / Auto Reset Butterfly Valves Part of the F Series of easily installed, compact, air intake valves for diesel engine emergency shut down. Application The FS2 version

More information

ST Motor Profiler (STM32 PMSM FOC SDK) Tips and Tricks

ST Motor Profiler (STM32 PMSM FOC SDK) Tips and Tricks ST Motor Profiler (STM32 PMSM FOC SDK) Tips and Tricks ST Motor Profiler 2 What is the Motor Profiler tool? The Motor Profiler tool is a new algorithm able to automatically measure the electrical characteristics

More information

ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX)

ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX) ALTERNATIVE BUNCH FILLING SCHEME FOR THE LHC - PART II (INJECTOR COMPLEX) G. Arduini,, W. Herr, E. Métral and T. Pieloni Part I (LHC) by Werner Introduction and motivation for the injector complex Review

More information

Fuzzy based STATCOM Controller for Grid connected wind Farms with Fixed Speed Induction Generators

Fuzzy based STATCOM Controller for Grid connected wind Farms with Fixed Speed Induction Generators Fuzzy based STATCOM Controller for Grid connected wind Farms with Fixed Speed Induction Generators Abstract: G. Thrisandhya M.Tech Student, (Electrical Power systems), Electrical and Electronics Department,

More information

Trip Wire. Category: Physics: Electricity & Magnetism. Type: Make & Take Rough Parts List:

Trip Wire. Category: Physics: Electricity & Magnetism. Type: Make & Take Rough Parts List: Trip Wire Category: Physics: Electricity & Magnetism Type: Make & Take Rough Parts List: 1 Clothespin 1 Buzzer 1 Battery 1 Small piece of foil 6 Electrical wire 18+ Fishing line 1 Popsicle stick 2 Dowels

More information

54ACxxxx, 54ACTxxxx. Rad-hard advanced high-speed 5 V CMOS logic series. Features. Description

54ACxxxx, 54ACTxxxx. Rad-hard advanced high-speed 5 V CMOS logic series. Features. Description Rad-hard advanced high-speed 5 V CMOS logic series Features Data brief Flat-14 Flat-16 Flat-20 DIL-14 DIL-16 DIL-20 AC: 2 to 6 V operating voltage ACT: 4.5 to 5.5 V operating voltage High speed T PD =

More information

Research Article Remotely Powered and Reconfigured Quasi-Passive Reconfigurable Nodes for Optical Access Networks

Research Article Remotely Powered and Reconfigured Quasi-Passive Reconfigurable Nodes for Optical Access Networks Journal of Electrical and Computer Engineering Volume 6, Article I 98, pages http://dx.doi.org/./6/98 Research Article Remotely Powered and Reconfigured uasi-passive Reconfigurable Nodes for Optical Access

More information

Overview of Helicopter HUMS Research in DSTO Air Vehicles Division

Overview of Helicopter HUMS Research in DSTO Air Vehicles Division AIAC-12 Twelfth Australian International Aerospace Congress Overview of Helicopter HUMS Research in DSTO Air Vehicles Division Dr Ken Anderson 1 Chief Air Vehicles Division DSTO Australia Abstract: This

More information

Cleaning of Diesel Particle Filters

Cleaning of Diesel Particle Filters Cleaning of Diesel Particle Filters BACKGROUND The particle filter is loaded up with soot particles from combustion in the engine. The level of loading in the filter is determinded by a differential sensor

More information

Recent enhancement to SI-ICE combustion models: Application to stratified combustion under large EGR rate and lean burn

Recent enhancement to SI-ICE combustion models: Application to stratified combustion under large EGR rate and lean burn Recent enhancement to SI-ICE combustion models: Application to stratified combustion under large EGR rate and lean burn G. Desoutter, A. Desportes, J. Hira, D. Abouri, K.Oberhumer, M. Zellat* TOPICS Introduction

More information

37 th Gas-Lift Workshop Houston, Texas, USA February 3 7, 2014

37 th Gas-Lift Workshop Houston, Texas, USA February 3 7, 2014 37 th Gas-Lift Workshop Houston, Texas, USA February 3 7, 2014 Non-Intrusive Ultrasonic Gas Flow Meter Testing Michael Romer, ExxonMobil Upstream Research Company Tony Hord and Mike Johnson, ExxonMobil

More information

AN EXTREMELY COMPACT, HIGH TORQUE CONTINUOUSLY VARIABLE POWER TRANSMISSION FOR LARGE HYBRID TERRAIN VEHICLES

AN EXTREMELY COMPACT, HIGH TORQUE CONTINUOUSLY VARIABLE POWER TRANSMISSION FOR LARGE HYBRID TERRAIN VEHICLES AN EXTREMELY COMPACT, HIGH TORQUE CONTINUOUSLY VARIABLE POWER TRANSMISSION FOR LARGE HYBRID TERRAIN VEHICLES Luca Piancastelli 1, Salvatore Migliano 1 and Stefano Cassani 2 1 Department of Industrial Engineering,

More information

Electricity concepts teacher backgrounder

Electricity concepts teacher backgrounder Electricity concepts teacher backgrounder What is electricity, where does it come from and what do we use it for? Scientifically, electricity is the movement of electrons from one atom to another. This

More information

Vector E-Mobility Engineering Day. Platform implementing V2G services Bidirectional Power Transfer using Edition 2.

Vector E-Mobility Engineering Day. Platform implementing V2G services Bidirectional Power Transfer using Edition 2. Vector E-Mobility Engineering Day April 12th 2018 Platform implementing V2G services Bidirectional Power Transfer using 15118 Edition 2 AGENDA 01 RENAULT 02 BPT 03 PLATFORM 04 USE V2G STRATEGY FAST RESPONDING

More information

Asynchronous slip-ring motor synchronized with permanent magnets

Asynchronous slip-ring motor synchronized with permanent magnets ARCHIVES OF ELECTRICAL ENGINEERING VOL. 66(1), pp. 199-206 (2017) DOI 10.1515/aee-2017-0015 Asynchronous slip-ring motor synchronized with permanent magnets TADEUSZ GLINKA, JAKUB BERNATT Institute of Electrical

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Control System for a Diesel Generator and UPS

Control System for a Diesel Generator and UPS Control System for a Diesel Generator and UPS I. INTRODUCTION In recent years demand in the continuity of power supply in the local distributed areas is steadily increasing. Nowadays, more and more consumers

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

NEW SERIES OF ETIBREAK EB2 IN VIEW OF SELECTIVITY

NEW SERIES OF ETIBREAK EB2 IN VIEW OF SELECTIVITY NEW SERIES OF ETIBREAK EB2 IN VIEW OF SELECTIVITY WHAT IS SELECTIVITY? Selectivity in mean of protective devices is also called by other terms as discrimination or coordination of protective devices. To

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 CONSERVATION OF ENERGY Conservation of electrical energy is a vital area, which is being regarded as one of the global objectives. Along with economic scheduling in generation

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

SIZING AND TECHNO-ECONOMIC ANALYSIS OF A GRID CONNECTED PHOTOVOLTAIC SYSTEM WITH HYBRID STORAGE

SIZING AND TECHNO-ECONOMIC ANALYSIS OF A GRID CONNECTED PHOTOVOLTAIC SYSTEM WITH HYBRID STORAGE UPEC 2016, Coimbra,Portugal 6 th Sept -9 th Sept 2016 SIZING AND TECHNO-ECONOMIC ANALYSIS OF A GRID CONNECTED PHOTOVOLTAIC SYSTEM WITH HYBRID STORAGE Faycal BENSMAINE Dhaker ABBES Dhaker.abbes@hei.fr Antoine

More information

Experience and History

Experience and History Experience and History 1956 Battery no-break 1994 New Mfg Facility 500kVA System 1974 1000kVA System IC with Inner Rotor 1963 4x1600 MV System 1969 First Diesel CPS System (300 kva) 1992 2250kVA Dual Output

More information

Instruction Sheet Kit Number:

Instruction Sheet Kit Number: Instruction Sheet Kit Number: 72101800 TRACK CONVERSION KIT For All Wheel-Drive 921000 Models WARNING: FAILURE TO FOLLOW INSTRUCTIONS could result in personal injury and/or damage to unit. Read, understand,

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Cascading. Complementary technical information

Cascading. Complementary technical information Cascading What is cascading? Cascading is the use of the current limiting capacity of circuit breakers at a given point to permit installation of lower-rated and therefore lower-cost circuit breakers downstream.

More information

GT-Suite European User Conference

GT-Suite European User Conference GT-Suite European User Conference E-Charging on a High Performance Diesel engine D. Peci, C. Venezia EMEA Region - Powertrain Engineering Powertrain Research&Technology Frankfurt, Germany October 26th,

More information

Minimizing Transmix With FuellCheck

Minimizing Transmix With FuellCheck Minimizing Transmix With FuellCheck What is Transmix? Those who have to deal with transmix best answer this question. Below are 2 different perspectives, the first from an engineering consulting firm,

More information

Differential Pressure Switch

Differential Pressure Switch Overview and Identification The BAPI Differential is ideal for air filter monitoring, static pressure proving, airflow proving or auxiliary fan actuation.because of its UL 353 Limit Control Listing, the

More information