Marwan Adas December 6, 2011

Size: px
Start display at page:

Download "Marwan Adas December 6, 2011"

Transcription

1 Marwan Adas December 6, 2011

2 SPONGENT A Lighweight hash function SPONGENT = SPONGE + PRESENT + Unkeyed PRESENT- - - type permutation π: 4- bit S- box and bit diffusion Diagrams from

3 SPONGENT Lightweight Cryptography Low area Low power Low energy Low cost Five Main Variants Different levels of security Two Implementation Options Serial : Low- area, low power option Parallel: High throughput option

4 SPONGENT Variants Variant n (bit) b (bit) c (bit) r (bit) R (No. of Rounds) Security ( bit) Pre. 2nd pre. SPONGENT SPONGENT SPONGENT SPONGENT SPONGENT Col.

5 SPONGENT: Serial Implementa6on

6 SPONGENT: Parallel Implementa6on

7 SPONGENT FPGA Implementa6on Parameterized Verilog code Serial Parallel ATHENa Xilinx (Virtex 5 and Spartan 3) Altera (Cyclone III and Stratix III) Golden reference C model Provided by creator of SPONGENT NCSIM from Cadence for simulation Native FPGA vendor tools for area and power analysis Used the a 27 character long phrase for all analysis: SPONGENT = SPONGE + PRESENT

8 Resource U6liza6on: Altera Cyclone III (No. of LEs) LE (serial) LE (Parallel)

9 Resource U6liza6on: Altera Stra6x III (No. of ALUTs) ALUT (serial) ALUT (parallel)

10 Resource U6liza6on: Xilinx Spartan 3 (No. of Slices) Slices (serial) Slices (Parallel)

11 Resource U6liza6on: Xilinx Virtex 5 (No. of Slices) Slices (serial) Slices (Parallel) 0

12 Maximum Throughput: Altera Cyclone III (Mb/s) TP (Serial) (Mb/s) TP (Parallel) (Mb/s)

13 Maximum Throughput: Altera Stra6x III (Mb/s) TP (Serial) TP (Parallel)

14 Maximum Throughput: Xilinx Spartan 3 (Mb/s) TP (Serial) (Mb/s) TP (Parallel) (Mb/s)

15 Maximum Throughput: Xilinx Virtex 5 (Mb/s) TP (Serial) (Mb/s) TP (Parallel) (Mb/s)

16 Power Analysis Uses 100 KHz clock (reasonable for applications targeted by lightweight hash) Altera PowerPlay Analyzer Switching activity (VCD) from RTL simulation annotated to IOs and registers PowerPlay uses vector- less estimation for rest of logic and nets Medium to high confidence Xilinx Xpower Analyzer Switching activity (VCD) from gatelevel simulation

17 SPONGENT: Altera Cyclone III Variant cycles Data path (bits) Area (LEs) Throughput (Kb/s) SPONGENT SPONGENT SPONGENT SPONGENT SPONGENT Power (mw) Power is dominated by leakage power. (leakage ~80%. IOs=~19%, Logic < 1%)

18 SPONGENT: Altera Stra6x III Variant cycles Data path (bits) Area (ALUTs) Throughput (Kb/s) Power (mw) SPONGENT SPONGENT SPONGENT SPONGENT SPONGENT Power is dominated by leakage power. (leakage ~90%. IOs=~9%, Logic < 1%)

19 SPONGENT: Xilinx Spartan 3 Variant cycles Data path (bits) Area (Slices) Throughput (Kb/s) SPONGENT SPONGENT SPONGENT SPONGENT SPONGENT Power (mw) Power is dominated by leakage power. (leakage ~100%. IOs < 1%, Logic < 1%)

20 SPONGENT: Xilinx Virtex 5 Variant cycles Data path (bits) Area (Slices) Throughput (Kb/s) SPONGENT SPONGENT SPONGENT SPONGENT SPONGENT Power (mw) Power is dominated by leakage power. (leakage =~97%. IOs < 1%, Logic =~2%)

21 SPONGENT: Altera Cyclone III at 166MHz Variant cycles Datapath (bits) Area (LEs) TP (Kb/s) Power (mw) Energy mj/kbit SPONGENT- 8 8 SPONGENT SPONGENT SPONGENT SPONGENT

22 Conclusions Serial implementation resulted in smaller area (except for Cyclone III) Smaller logic area (replacing all Sboxes with a single Sbox) Approximately the same number of registers Slightly larger control (larger state machine and counters) Extent of area savings in FPGA- Based serial implementation does not compare to ASIC FPGA architectures are not as granular as ASIC Partial use of the basic unit (slice/alm) counts as using the entire resource (example register) Using same number of registers results in approximately same number of basic units used (Slices/ALMs) Small saving in FPGA resources compared to the large reduction in throughput of the serial implementation.

23 Conclusions (Cont.) At 100 KHz operation, power is dominated by Leakage No power advantage for serial implementation at this frequency. Parallel Implementation leads to lower energy Both implementations have similar power dissipation profile Serial implementation takes longer to complete At higher frequencies, serial implementation leads to a lower power solution suitable for passive devices. The parallel, more energy efficient implementation, are more suitable for active and battery assisted passive device.

24

25 Building Blocks sboxlayer: 4- bit to 4- bit S- box Player: bit permutation Depictions from

26 Back Up Spartan 3 Slices (serial) Slices (Parallel) LUTs (serial) LUTs (paralle) slice US slice UP spongnet % 20% spongnet % 27% spongnet % 34% spongnet spongnet Vertex5 Slices (serial) Slices (Parallel) LUTs (serial) LUTs (paralle) spongnet spongnet spongnet spongnet spongnet

27 Back up Cyclone III LE (serial) LE (Parallel) spongnet spongnet spongnet spongnet spongnet Stra6x III ALUT (serial) Logic U6l (serial) ALUT (parallel) Logic U6l (parallel) spongnet spongnet spongnet spongnet spongnet

28 Back up Stra6xIII serial total dynamic sta6c ios spongnet % 9.00% 0.00% spongnet % 9.00% 0.00% spongnet % 9.00% 0.00% spongnet % 9.00% 0.00% spongnet % 9.00% 0.00% Stra6xIII paralle total dynamic sta6c ios spongnet % 9.04% 0.02% spongnet % 6.96% 0.00% spongnet % 9.17% 0.00% spongnet % 9.17% 0.00% spongnet % 9.17% 0.00%

29 Back up CycloneIII TP (Serial) (Mb/s) TP (Parallel) (Mb/s) maxfreq(seria) maxfreq(parallel) TP/area spongnet spongnet spongnet spongnet spongnet Stra6xIII TP (Serial) TP (Parallel) maxfreq(seria) maxfreq(parallel) spongnet spongnet spongnet spongnet spongnet

30 Back up Spartan 3 TP (Serial) (Mb/s) TP (Parallel) (Mb/s) rounds widths maxfreq (seria) maxfreq(parallel) spongnet spongnet spongnet spongnet spongnet Virtex 5 TP (Serial) (Mb/s) TP (Parallel) (Mb/s) rounds widths maxfreq (seria) maxfreq(parallel) spongnet spongnet spongnet spongnet spongnet

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Local Memory Bus (LMB) V10 (v1.00a)

Local Memory Bus (LMB) V10 (v1.00a) Local Memory Bus (LMB) V10 (v1.00a) DS445 December 2, 2009 Introduction The LMB V10 module is used as the LMB interconnect for Xilinx FPGA-based embedded processor systems. The LMB is a fast, local bus

More information

FPGA Instruments Resource Usage

FPGA Instruments Resource Usage Summary This quick reference provides detailed information about resource usage of all presynthesized Instruments cores. Core Reference CR0134 (v1.10) July 17, 2008 Instruments The available Instruments

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling

Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling Downloaded from vbn.aau.dk on: marts 07, 2019 Aalborg Universitet Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling Tomar, Puneet; Gupta, Sheigali; Kaur, Amanpreet; Dabas, Sweety;

More information

Low Power And High Performance 32bit Unsigned Multiplier Using Adders. Hyderabad, A.P , India. Hyderabad, A.P , India.

Low Power And High Performance 32bit Unsigned Multiplier Using Adders. Hyderabad, A.P , India. Hyderabad, A.P , India. ISSN: 2320 879(Impact Factor: 479) Low Power And High Performance 32 Unsigned Multiplier Using Adders SriRamya P, SuhaliAfroz MD 2 PG Scholar, Department of Electronics and Communication Engineering, Teegala

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow MII51011-1.0 Introduction Small capacity, non-volatile memory is commonly used in storing manufacturing data (e.g., manufacturer

More information

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code

Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Fault Attacks Made Easy: Differential Fault Analysis Automation on Assembly Code Jakub Breier, Xiaolu Hou and Yang Liu 10 September 2018 1 / 25 Table of Contents 1 Background and Motivation 2 Overview

More information

Algebraic Integer Encoding and Applications in Discrete Cosine Transform

Algebraic Integer Encoding and Applications in Discrete Cosine Transform RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Algebraic Integer Encoding and Applications in Discrete Cosine Transform Minyi Fu Supervisors: Dr. G. A. Jullien Dr. M. Ahmadi Department

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer mmax Power Management Chip Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer 1 Outline Design Goals and Challenges Power Management IC Design Maximum Power Point Tracking (MPPT) Implementation

More information

How to generate the Sbox of Luffa

How to generate the Sbox of Luffa How to generate the Sbox of Luffa ESC2010@Remich (Jan.11.2010) Dai Watanabe SDL, Hitachi Luffa is a registered trademark of Hitachi, Ltd. 1 Outline Topic How to find an 4-bit sbox optimized for bit slice

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD R 0 XC95288 In-System Programmable CPLD 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up to 166 user pins 5V in-system

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

FPGA BASED CONTROL OF HIGH TEMPERATURE SWITCHED RELUCTANCE MOTOR FOR IMPROVING THE INPUT POWER QUALITY

FPGA BASED CONTROL OF HIGH TEMPERATURE SWITCHED RELUCTANCE MOTOR FOR IMPROVING THE INPUT POWER QUALITY University of Kentucky UKnowledge University of Kentucky Master's Theses Graduate School 2004 FPGA BASED CONTROL OF HIGH TEMPERATURE SWITCHED RELUCTANCE MOTOR FOR IMPROVING THE INPUT POWER QUALITY Anush

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency

: New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency : New technologies in feedback devices - Reduce costs and improve performance, maintenance, and efficiency Joanna Suresh, Product Manager, SICK, Inc. Agenda : Introduction : Selection of encoders - The

More information

Soft Charging Switched Capacitor CMOS Power Converters - Increasing Efficiency and Power Density Using a Merged Two-Stage Architecture

Soft Charging Switched Capacitor CMOS Power Converters - Increasing Efficiency and Power Density Using a Merged Two-Stage Architecture Soft Charging Switched Capacitor CMOS Power Converters - Increasing Efficiency and Power Density Using a Merged Two-Stage Architecture Robert Pilawa-Podgurski PowerSoC 2012 Acknowledgments Professor David

More information

Straw Detectors for the Large Hadron Collider. Dirk Wiedner

Straw Detectors for the Large Hadron Collider. Dirk Wiedner Straw Detectors for the Large Hadron Collider 1 B-Mesons Bd π π? B-Mesons properties? Charge parity symmetry violation? 2 Proton-Proton Collision Bd proton LHC Start 2007 π proton 14 TeV π? B-Mesons properties?

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Battery Pack Design. Mechanical and electrical layout, Thermal modeling, Battery management. Avo Reinap, IEA/LU

Battery Pack Design. Mechanical and electrical layout, Thermal modeling, Battery management. Avo Reinap, IEA/LU mvkf25vt18 Battery Pack Design Mechanical and electrical layout, Thermal modeling, Battery management Avo Reinap, IEA/LU Energy Management Battery management system Information Energy Monitoring measure

More information

Prototypage rapide du contrôle d'un convertisseur de puissance DC-DC à haut rendement

Prototypage rapide du contrôle d'un convertisseur de puissance DC-DC à haut rendement Prototypage rapide du contrôle d'un convertisseur de puissance DC-DC à haut rendement Piotr Dworakowski, SuperGrid Institute 21/06/2016 Agenda Supergrid origins and SuperGrid Institute SAS Power converter

More information

Unidrive M600 High performance drive for induction and sensorless permanent magnet motors

Unidrive M600 High performance drive for induction and sensorless permanent magnet motors Unidrive M600 High performance drive for induction and sensorless permanent magnet motors 0.75 kw - 2.8 MW Heavy Duty (1.0 hp - 4,200 hp) 200 V 400 V 575 V 690 V Unidrive M600 features Easy click-in keypad

More information

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V3 PP 26-30 www.iosrjen.org (FPGA) based design for minimizing petrol spill from the pipe

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI

A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI A 0.35um CMOS 1,632-gate count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI Minoru Watanabe and Fuminori Kobayashi Department of Systems Innovation and Informatics Kyushu Institute of

More information

Implementing Dynamic Retail Electricity Prices

Implementing Dynamic Retail Electricity Prices Implementing Dynamic Retail Electricity Prices Quantify the Benefits of Demand-Side Energy Management Controllers Jingjie Xiao, Andrew L. Liu School of Industrial Engineering, Purdue University West Lafayette,

More information

AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine

AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine This note describes the design of a complete charging circuit. A single cell Lithium Polymer (LiPol) battery is charged in two stages:

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data

SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data SFM/TFM Power Integrity Guidelines Samtec SFM/TFM Series Measurement and Simulation Data Scott McMorrow, Director of Engineering Page 1 SFM/TFM Power Integrity Guidelines Modeled Section SFM Board TFM

More information

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems Chapter 8 Registers SKEE2263 igital Systems Mun im Zabidi {munim@utm.my} Ismahani Ismail {ismahani@fke.utm.my} Izam Kamisian {e-izam@utm.my} Faculty of Electrical Engineering, Universiti Teknologi Malaysia

More information

Flexible Ramping Product Technical Workshop

Flexible Ramping Product Technical Workshop Flexible Ramping Product Technical Workshop September 18, 2012 Lin Xu, Ph.D. Senior Market Development Engineer Don Tretheway Senior Market Design and Policy Specialist Agenda Time Topic Presenter 10:00

More information

Experience the Hybrid Drive

Experience the Hybrid Drive Experience the Hybrid Drive MAGNA STEYR equips SUV with hybrid drive Hybrid demo vehicle with dspace prototyping system To integrate components into a hybrid vehicle drivetrain, extensive modification

More information

SIMPACK User Meeting May 2011 in Salzburg

SIMPACK User Meeting May 2011 in Salzburg Modular vehicle concept modular model design reliable calculation chain Dynamic analysis of the Avenio platform with multi-body simulation (MBS) Page 1 May 2011 Structure Presentation of Avenio tram platform

More information

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data

Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Power Integrity Guidelines Samtec MPT/MPS Series Connectors Measurement and Simulation Data Scott McMorrow, Director of Engineering Page 1 Modeled Section MPS Board MPT Board Power Via Power Via Power

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

Unidrive M400 Fast set-up and diagnostics with real-text display, integrated PLC and safety inputs

Unidrive M400 Fast set-up and diagnostics with real-text display, integrated PLC and safety inputs Unidrive M400 Fast set-up and diagnostics with real-text display, integrated PLC and safety inputs 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 features Optional AI-485

More information

Model Based Design: Balancing Embedded Controls Development and System Simulation

Model Based Design: Balancing Embedded Controls Development and System Simulation All-Day Hybrid Power On the Job Model Based Design: Balancing Embedded Controls Development and System Simulation Presented by : Bill Mammen 1 Topics Odyne The Project System Model Summary 2 About Odyne

More information

Hybrid Wind Solar Generator

Hybrid Wind Solar Generator Jerry Bell Resource Adequacy Planning Department Planning Committee December 14th, 2017 How did this concept arise? Wind units rarely use their full inverter capability If wind units capacity factors in

More information

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Dipl.-Ing. Sven Altmann Dr.-Ing. Ulrich Donath Fraunhofer-Institut Integrierte Schaltungen Branch Lab Design Automation

More information

Courtesy of CMA/Flodyne/Hydradyne Motion Control Hydraulic Pneumatic Electrical Mechanical (800)

Courtesy of CMA/Flodyne/Hydradyne Motion Control Hydraulic Pneumatic Electrical Mechanical (800) P01 LinMot P is a family of linear direct drives for highly dynamic motions. The motor is made up of just two parts: the slider and the stator. The two parts are not connected by brushes or cables. The

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

End-To-End Cell Pack System Solution: Rechargeable Lithium-Ion Battery

End-To-End Cell Pack System Solution: Rechargeable Lithium-Ion Battery White Paper End-To-End Cell Pack System Solution: Industry has become more interested in developing optimal energy storage systems as a result of increasing gasoline prices and environmental concerns.

More information

Your Testing Quality is Our Commitment.

Your Testing Quality is Our Commitment. Your Testing Quality is Our Commitment. 2012 2012 1 2012 4 24 1 Disclaimer This presentation contains some forward-looking statements that are subject to substantial risks and uncertainties. Typically,

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

Power Electronics & Drives [Simulink, Hardware-Open & Closed Loop]

Power Electronics & Drives [Simulink, Hardware-Open & Closed Loop] Power Electronics & [Simulink, Hardware-Open & Closed Loop] Project code Project theme Application ISTPOW801 Estimation of Stator Resistance in Direct Torque Control Synchronous Motor ISTPOW802 Open-Loop

More information

Unidrive M700 Class leading performance with onboard real-time Ethernet

Unidrive M700 Class leading performance with onboard real-time Ethernet Unidrive M Class leading performance with onboard real-time Ethernet. kw -.8 MW Heavy Duty (. hp -, hp) V V V 9 V Unidrive M features Easy click-in keypad connection Range of multi-language LCD keypads

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted.

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Introduction Presenter Thomas Desbarats Business Development Simcenter System

More information

Booming Noise Optimization on an All Wheel Drive Vehicle

Booming Noise Optimization on an All Wheel Drive Vehicle on an All Wheel Drive Vehicle 3 rd International Conference Dynamic Simulation in Vehicle Engineering, 22-23 May 2014, St. Valentin, Austria Dr. Thomas Mrazek, ECS Team Leader Vehicle Dynamics ECS / Disclosure

More information

Automated Testing in Automotive Software Development using Vehicle System Simulation

Automated Testing in Automotive Software Development using Vehicle System Simulation Automated Testing in Automotive Software Development using Vehicle System Simulation Oliver Philipp, Martin Ehmann TESIS DYNAware GmbH, www.tesis-dynaware.com 1 Defect Rate Cost for Defect Fix Motivation:

More information

Development of a Multibody Systems Model for Investigation of the Effects of Hybrid Electric Vehicle Powertrains on Vehicle Dynamics.

Development of a Multibody Systems Model for Investigation of the Effects of Hybrid Electric Vehicle Powertrains on Vehicle Dynamics. Development of a Multibody Systems Model for Investigation of the Effects of Hybrid Electric Vehicle Powertrains on Vehicle Dynamics. http://dx.doi.org/10.3991/ijoe.v11i6.5033 Matthew Bastin* and R Peter

More information

Warped-Compression: Enabling Power Efficient GPUs through Register Compression

Warped-Compression: Enabling Power Efficient GPUs through Register Compression WarpedCompression: Enabling Power Efficient GPUs through Register Compression Sangpil Lee, Keunsoo Kim, Won Woo Ro (Yonsei University*) Gunjae Koo, Hyeran Jeon, Murali Annavaram (USC) (*Work done while

More information

ELECTRIC SHIP TECHNOLOGY SYMPOSIUM EXPERIMENTAL TESTBED TO DE-RISK THE NAVY ADVANCED DEVELOPMENT MODEL

ELECTRIC SHIP TECHNOLOGY SYMPOSIUM EXPERIMENTAL TESTBED TO DE-RISK THE NAVY ADVANCED DEVELOPMENT MODEL ELECTRIC SHIP TECHNOLOGY SYMPOSIUM EXPERIMENTAL TESTBED TO DE-RISK THE NAVY ADVANCED DEVELOPMENT MODEL Shannon Strank Center for Electromechanics The University of Texas at Austin 8/16/2017 CEM Microgrid

More information

Energy Harvesting Platform

Energy Harvesting Platform Energy Harvesting Platform Group 8 S A N JAY K H E ML A NI T R AV I S B A D A L L K I A R A R O D R I G U EZ M I C H A EL L I N EE EE EE EE Motivation Non-renewable energy sources harm the environment

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

ABB Sace S.p.A. presents its new BSD servo system, a compact, high-performance and easy product.

ABB Sace S.p.A. presents its new BSD servo system, a compact, high-performance and easy product. BSD Series New BSD Series A complete range, ABB Sace S.p.A. presents its new BSD servo system, a compact, high-performance and easy product. The BSD servo drive meets the needs of engineers, looking for

More information

Low Carbon Vehicle Technology Program

Low Carbon Vehicle Technology Program Low Carbon Vehicle Technology Program Workstream 6: Vehicle Supervisory Control (VSC) Date: 18 th May 2011 Speaker: Cian Harrington, Cranfield University Workstream team members: Jaguar Land Rover, Ricardo,

More information

Pneumatic Power Clamps

Pneumatic Power Clamps 15.61 Pneumatic Power Clamps Series 82M-6 Product Overview (last time in catalog) Features: modular design shielded body, dirt-resistant compact design high holding torques long life cycle low weight (aluminium

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

EE Gruppenmeeting. GSI-Darmstadt NYXOR+GMX. GSI Detector Laboratory(RBDL) C.Caesar EE Gruppenmeeting - GSI / Darmstadt

EE Gruppenmeeting. GSI-Darmstadt NYXOR+GMX. GSI Detector Laboratory(RBDL) C.Caesar EE Gruppenmeeting - GSI / Darmstadt EE Gruppenmeeting GSI-Darmstadt NYXOR+GMX C.Caesar 27.07.2016 EE Gruppenmeeting - GSI / Darmstadt GSI Detector Laboratory(RBDL) Reminder: what is it used for and how did it look like GEMEX project started

More information

FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system

FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system HEPMAD'9 Conference, Antananarivo, 22-28 August 29 FPGA-based technology for Pulse Height Analysis in nuclear spectrometry system H. Andrianiaina, Raoelina Andriambololona, J. Rajaobelison Madagascar-INSTN,

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

University Program Software Selection

University Program Software Selection Level One Conformal - GXL Conformal Constraint Design - L Conformal Constraint Design - XL CCD Multi-Constraint Check option Conformal Low Power - XL Conformal Low Power GXL Conformal ECO Designer Virtuoso

More information

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek

A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek A New Buck-Boost Converter for a Hybrid-Electric Drive Stand P. Mašek This paper describes work on the laboratory working stand for a hybrid-electric drive located in laboratory T2:H1-26.The basic idea

More information

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management N.Indhumathi 1, Dr.S.Nirmala 2 PG Student [Applied Electronics], Dept. of ECE, Muthayammal Engineering College, Namakkal, Tamilnadu,

More information

Ramp Profile Hardware Implementation. User Guide

Ramp Profile Hardware Implementation. User Guide Ramp Profile Hardware Implementation User Guide Ramp Profile Hardware Implementation User Guide Table of Contents Ramp Profile Theory... 5 Slew Rate in Reference Variable Count/Sec (T sr )... 6 Slew Rate

More information

Integration of EtherCAT in Advanced Test Systems Solutions and Challenges. Dr. Frank Schütte, Andreas Tenge, Dr. László Juhász dspace GmbH, Paderborn

Integration of EtherCAT in Advanced Test Systems Solutions and Challenges. Dr. Frank Schütte, Andreas Tenge, Dr. László Juhász dspace GmbH, Paderborn Integration of EtherCAT in Advanced Test Systems Solutions and Challenges Dr. Frank Schütte, Andreas Tenge, Dr. László Juhász dspace GmbH, Paderborn ETG 2013 Introduction Actual developments in the mobile

More information

ELECTRICAL 48 V MAIN COOLANT PUMP TO REDUCE CO 2 EMISSIONS

ELECTRICAL 48 V MAIN COOLANT PUMP TO REDUCE CO 2 EMISSIONS ELECTRICAL 48 V MAIN COOLANT PUMP TO REDUCE CO 2 EMISSIONS Mahle has developed an electrical main coolant pump for the 48 V on-board net. It replaces the mechanical pump and offers further reductions in

More information

MEMS Sensors in Chassis and Active Safety Applications

MEMS Sensors in Chassis and Active Safety Applications MEMS Sensors in Chassis and Active Safety Applications Vehicle Dynamic Expo, Technology Forum, 18 June 2009 Matthieu Rezé, EMEA Automotive Sensors Marketing One Billion MEMS Milestone just passed... 1

More information

Circular BIST - Organization

Circular BIST - Organization Circular BIST - Organization Architecture Operation BIST Controller Selective Replacement Register Adjacency Limit Cycling Design Guidelines Hardware Solutions Benefits and Limitations C. Stroud 10/06

More information

Sponsors. Rob Parkinson. Technical Head - Driveline and Transmission Systems Ricardo UK Ltd

Sponsors. Rob Parkinson. Technical Head - Driveline and Transmission Systems Ricardo UK Ltd Sponsors Rob Parkinson Technical Head - Driveline and Transmission Systems Ricardo UK Ltd Rapid identification of transmission layouts for lowest vehicle energy consumption Rob Parkinson Technical Head,

More information

Technical Article. How to implement a low-cost, accurate state-of-charge gauge for an electric scooter. Manfred Brandl

Technical Article. How to implement a low-cost, accurate state-of-charge gauge for an electric scooter. Manfred Brandl Technical How to implement a low-cost, accurate state-of-charge gauge for an electric scooter Manfred Brandl How to implement a low-cost, accurate state-of-charge gauge for an electric scooter Manfred

More information

RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES

RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES RESEARCH OF THE DYNAMIC PRESSURE VARIATION IN HYDRAULIC SYSTEM WITH TWO PARALLEL CONNECTED DIGITAL CONTROL VALVES ABSTRACT The researches of the hydraulic system which consist of two straight pipelines

More information

Übersicht der VVT-Systementwicklung bei Hilite. Overview of VVT System development at Hilite

Übersicht der VVT-Systementwicklung bei Hilite. Overview of VVT System development at Hilite Übersicht der VVT-Systementwicklung bei Hilite Overview of VVT System development at Hilite M.Sc. Mustafa Mohammed Simulation Engineer Business Unit Engine / Simulation Frei verwendbar Contents Company

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

2009 Wind-Diesel Workshop. Microgrid Control System Technology GE Digital Energy, Markham Ontario

2009 Wind-Diesel Workshop. Microgrid Control System Technology GE Digital Energy, Markham Ontario 2009 Wind-Diesel Workshop Microgrid Control System Technology GE Digital Energy, Markham Ontario June 2 nd, 2009 Protection & Control Multilin Communications MDS, Lentronics Power Quality Zenith Controls

More information

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Preetika Kulshrestha, Student Member, IEEE, Lei Wang, Student Member, IEEE, Mo-Yuen Chow,

More information

Sensing the position of throttle valve using Throttle Position Sensor

Sensing the position of throttle valve using Throttle Position Sensor Sensing the position of throttle valve using Throttle Position Sensor Nikita Dhenge 1, Krupa Deth 2, S T Valujkar 3 1&2 (National Institute of Electronics and Information Technology, Aurangabad, India)

More information

Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter

Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter MPM-07:000199 Uen Rev A Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter March 2007 Technical Paper Digital control implemented in an isolated DC/DC converter

More information

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution

Successive Approximation Time-to-Digital Converter with Vernier-level Resolution 21 st IEEE International Mixed-Signal Testing Workshop Catalunya, Spain July 4, 2016 15:00-15:30 Conference Room: Goya Successive Approximation Time-to-Digital Converter with Vernier-level Resolution R.

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

High Speed V-Series of Fast Discrete IGBTs

High Speed V-Series of Fast Discrete IGBTs High Speed V-Series of Fast Discrete IGBTs Taketo Watashima Ryu Araki ABSTRACT Fuji Electric has developed and commercialized the High Speed V-Series of discrete IGBTs (insulated gate bipolar transistors)

More information

Building Fast and Accurate Powertrain Models for System and Control Development

Building Fast and Accurate Powertrain Models for System and Control Development Building Fast and Accurate Powertrain Models for System and Control Development Prasanna Deshpande 2015 The MathWorks, Inc. 1 Challenges for the Powertrain Engineering Teams How to design and test vehicle

More information

S24SP15004 series 60W Single Output DC/DC Converter

S24SP15004 series 60W Single Output DC/DC Converter 6W Single DC/DC Converter FEATURES Efficiency up to 93.3% Wide input range, 9V-36V Package with Industry Standard Pinout Package Dimension: Without heat sink 5.8 x25.4 x1.5mm (2. x1. x.41 ) With heat sink

More information

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003.

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003. Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter Technical Paper 003 March 2007 Digital control implemented in an isolated DC/DC converter provides equal or

More information

Update on the ODVA Energy Initiative

Update on the ODVA Energy Initiative Update on the ODVA Energy Initiative www.odva.org Marketing Track The case for ODVA energy actions Agenda What ODVA is doing about it What you can do Where do we go from here? Marketing Track 2014 Industry

More information

Dell EMC SCv ,000 Mailbox Exchange 2016 Resiliency Storage Solution using 10K drives

Dell EMC SCv ,000 Mailbox Exchange 2016 Resiliency Storage Solution using 10K drives Dell EMC SCv3020 14,000 Mailbox Exchange 2016 Resiliency Storage Solution using 10K drives Microsoft ESRP 4.0 Abstract This document describes the Dell EMC SCv3020 storage solution for Microsoft Exchange

More information

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003.

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003. Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter Technical Paper 003 March 2007 Digital control implemented in an isolated DC/DC converter provides equal or

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information