CS250 VLSI Systems Design

Size: px
Start display at page:

Download "CS250 VLSI Systems Design"

Transcription

1 CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16

2 What do Computer Architects need to know about physics? Physics effect: Area cost Delay performance Energy performance & cost Ideally, zero delay, area, and energy However, the physical devices occupy area, take time, and consume energy CMOS process lets us build transistors, wires, connections, and we get capacitors, inductors, and resistors whether or not we want them Lecture 04, Timing 2 CS250, UC Berkeley Sp16

3 Physical Layout Switch-level abstraction gives a good way to understand the function of a circuit nfet (g=1? short circuit : open) pfet (g=0? short circuit : open) Understanding delay means going below the switch-level abstraction to transistor physics and layout details Lecture 04, Timing 3 CS250, UC Berkeley Sp16

4 Models should be as simple as possible, but no simpler Albert Einstein Lecture 04, Timing 4 CS250, UC Berkeley Sp16

5 Gate Delay Modern CMOS gate delays on the order of a few picoseconds (However, highly dependent on gate context) Often expressed as FO4 delays (fan-out of 4) - as a process independent delay metric: the delay of an inverter, driven by an inverter 4x smaller than itself, and driving an inverter 4x larger than itself For a 90nm process FO4 is around 20ps Should be less than 10ps for our 32nm process Lecture 04, Timing 5 CS250, UC Berkeley Sp16

6 Path Delay For correct operation: Total Delay clock_period - FFsetup_time - FFclk_to_q - Clock_skew on all paths High-speed processors critical paths have around 20 FO4 delays Lecture 04, Timing 6 CS250, UC Berkeley Sp16

7 FO4 Delays per clock period FO4 Delays CPU Clock Periods intel intel 486 intel pentium MIPS stages intel pentium 2 intel pentium 3 intel pentium 4 intel itanium Alpha Pentium Pro 10 stages Alpha Alpha Sparc Historical limit: about Pentium 4 20 stages SuperSparc Sparc64 Mips HP PA Power PC AMD K6 AMD K7 AMD x Thanks to Francois Labonte, Stanford 7 Lecture 04, Timing CS250, UC Berkeley Sp16

8 CPU DB: Recording Microprocessor History With this open database, you can mine microprocessor trends over the past 40 years Andrew Danowitz, Kyle Kelley, James Mao, John P Stevenson, Mark Horowitz, Stanford University F04 Delays Per Cycle for Processor Designs F04 / cycle FO4 delay per cycle is roughly proportional to the amount of computation completed per cycle

9 Gate Delay What determines the actual delay of a logic gate? Transistors are not perfect switches - cannot change terminal voltages instantaneously Consider the NAND gate: Current (I) value depends on: process parameters, transistor size CL / I CL models gate output, wire, inputs to next stage (Cap of Load) C integrates I creating a voltage change at output Lecture 04, Timing 9 CS250, UC Berkeley Sp16

10 More on transistor Current Transistors act like a cross between a resistor and current source ISAT depends on process parameters (higher for nfets than for pfets) and transistor size (layout): ISAT W/L Lecture 04, Timing 10 CS250, UC Berkeley Sp16

11 Transistors as water valves (Cartoon physics) If electrons are water molecules, transistor strengths (W/L) are pipe diameters, and capacitors are buckets Vdd 1 A on p-fet fills up the capacitor with charge Open Charge 0 Water level Time Vdd Vdd 1 A on n-fet empties the bucket Open Out CS 250 L4: Timing Discharge n This model is often good enough 0 Time Water level UC Regents Spring 2016 UCB

12 More on CL Everything that connects to the output of a logic gate (or transistor) contributes capacitance: I Transistor drains Interconnection (wires/ contacts/vias) Transistor Gates Lecture 04, Timing 12 CS250, UC Berkeley Sp16

13 What is the bucket? A gate s fan-out Inverter: NAND gate: Fan-out : The number of gate inputs driven by a gate s output Driving other gates slows a gate down Driving wires slows a gate down Driving it s own parasitics slows a gate down CS 250 L4: Timing UC Regents Spring 2016 UCB

14 A closer look at fan-out Driving more gates adds delay Linear model works for reasonable fan-out 05ns Out: Low -> High Slope = 00021ns / ff FO4: Fanout of four delay CS 250 L4: Timing Delay time of an inverter driving 4 inverters Cout UC Regents Spring 2016 UCB

15 Wires So far, simple capacitors: C Area = width length Wires have finite resistance, so have distributed R and C: with r = res/length, c = cap/length, rcl 2 rc + 2rc +3rc + v1 v2 v3 v4 v1 v2 v3 v4 time Lecture 04, Timing 15 CS250, UC Berkeley Sp16

16 Wires For short wires (between gates) R is insignificant: (total wire RC delay << total gate delay) For long wires R becomes significant Ex: busses, clocks, reset rebuffering helps Finding the correct number and spacing requires solving a quadratic optimization problem Tradeoff fixed delay (overhead) of buffers with RC wire delay Lecture 04, Timing 16 CS250, UC Berkeley Sp16

17 Turning Rise/Fall Delay into Gate Delay Cascaded gates: transfer curve for inverter Lecture 04, Timing 17 CS250, UC Berkeley Sp16

18 Driving Large Loads Large fanout nets: clocks, resets, memory bit lines, off-chip Relatively small driver results in long rise time (and thus large gate delay) Strategy: Staged Buffers Optimal trade-off between delay per stage and total number of stages fanout of 4-6 per stage Lecture 04, Timing 18 CS250, UC Berkeley Sp16

19 Recall: Positive edge-triggered flip-flop D Q A flip-flop samples right before the edge, and then holds value clk Sampling circuit clk Holds value clk clk clk clk clk Clock to Q delay results fr 16 Transistors: Makes an SRAM look compact! What do we get for the 10 extra transistors? Clocked logic semantics clk CS 250 L4: Timing UC Regents Spring 2016 UCB

20 Sensing: When clock is low D Q A flip-flop samples right before the edge, and then holds value clk Sampling circuit clk Holds value clk clk clk clk clk = 0 clk = 1 clk clk Clock to Q delay results fr clk clk clk clk clk clk CS 250 L4: Timing Will capture new clk value on posedge Clock to Q delay results fr Outputs last clk value captured UC Regents Spring 2016 UCB

21 Capture: When clock goes high D Q A flip-flop samples right before the edge, and then holds value clk Sampling circuit clk Holds value clk clk clk clk clk = 1 clk = 0 clk Clock to clk Q delay results fr clk clk clk clk clk clk CS 250 L4: Timing Remembers value clk just captured Clock to Q delay results fr Outputs value clk just captured UC Regents Spring 2016 UCB

22 Flip Flop delays: clk-to-q? setup? hold? clk clk D Q CLK clk clk clk clk CLK == 0 Sense D, but Q outputs old value clk Clock to Q delay results fr setup clk CLK 0->1 Capture D, pass value to Q hold? clk-to-q CS 250 L4: Timing UC Regents Spring 2016 UCB

23 Timing Analysis and Logic Delay Register: An Array of Flip-Flops Combinational Logic If our clock period T > worst-case delay through CL, does this ensure correct operation? CS 250 L4: Timing UC Regents Spring 2016 UCB

24 Flip-Flop delays eat into time budget Combinational Logic ALU time budget T! # clk"q + # CL + # setup CS 250 L4: Timing UC Regents Spring 2016 UCB

25 Clock skew also eats into time budget CLKd CLK CLK CLK CLKd CLK CL As T 0, which circuit fails first? CL CLK CLK CLKd clock skew, delay in distribution T " T CL +T setup +T clk!q + worst case skew ost modern large high-performance chi CS 250 L4: Timing UC Regents Spring 2016 UCB

26 Grid Tuned sector trees Delay Delay Sector buffers x CS 250 L3: Timing Clock Tree Delays, IBM Power CPU y Buffer level 2 Buffer level 1 UC Regents Fall 2013 UCB

27 15 Delay Volts (V) ps skew Time (ps) Multiplefingered transmissio line x CS 250 L3: Timing Clock Tree Delays, IBM Power y UC Regents Fall 2013 UCB

28 Components of Path Delay # of levels of logic Internal cell delay wire delay cell input capacitance cell fanout cell output drive strength Lecture 04, Timing 28 CS250, UC Berkeley Sp16

29 Who controls the delay? foundary engineer (TSMC) Library Developer (Aritsan) CAD Tools (DC, IC Compiler) Designer (Chris) 1 # of levels synthesis RTL 2 Internal cell delay physical parameters cell topology, trans sizing cell selection 3 Wire delay physical parameters place & route layout generator 4 Cell input capacitance physical parameters cell topology, trans sizing cell selection instantiation 5 Cell fanout synthesis RTL 6 Cell drive strength physical parameters transistor sizing cell selection instantiation Lecture 04, Timing 29 CS250, UC Berkeley Sp16

30 From Delay Models to Timing Analysis clk Timing Analysis What is the smallest T that produces correct operation? Or, can we meet a target T? f T 1 MHz 1 μs 10 MHz 100 ns 100 MHz 10 ns 1 GHz 1 ns CS 250 L4: Timing UC Regents Spring 2016 UCB

31 Timing Closure: Searching for and beating down the critical path? Must consider all connected register pairs, paths, plus from input to register, plus register to output Design tools help in the search Synthesis tools work to meet clock constraint, report delays on paths, Special static timing analyzers accept a design netlist and report path delays, and, of course, simulators can be used to determine timing performance Tools that are expected to do something about the timing behavior (such as synthesizers), also include provisions for specifying input arrival times (relative to the clock), and output requirements (set-up times of next stage)

32 Timing Analysis, real example The critical path Most paths have hundreds of picoseconds to spare Late-mode timing checks (thousands) Timing slack (ps) From The circuit and physical design of the POWER4 microprocessor, IBM J Res and Dev, 46:1, Jan 2002, JD Warnock et al

33 Timing Optimization As an ASIC designer you get to choose: The algorithm The Microarchitecture (block diagram) The RTL description of the CL blocks (number of levels of logic) Where to place registers and memory (the pipelining) Overall floorplan and relative placement of blocks

34 How to retime logic Critical path is 5 We want to improve it without changing circuit semantics IN Circles are combinational logic, labelled with delays OUT Figure 1: A small graph before retiming The nodes represent logic delays, with the inputs and outputs passing through mandatory, fixed registers The critical path is 5 Add a register, move one circle Performance improves by 20% IN OUT Figure 2: The example in Figure 2 after retiming The critical path is reduced from 5 to 4 Post-Placement C-slow Retiming for the Xilinx Virtex FPGA Technology X can do this in simple cases Nicholas Weaver UC Berkeley Berkeley, CA Yury Markovskiy UC Berkeley Berkeley, CA Yatish Patel UC Berkeley Berkeley, CA John Wawrzynek UC Berkeley Berkeley, CA

35 Power 4: Timing Estimation, Closure Timing Estimation Predicting a processor s clock rate early in the project From The circuit and physical design of the POWER4 microprocessor, IBM J Res and Dev, 46:1, Jan 2002, JD Warnock et al CS 250 L4: Timing UC Regents Spring 2016 UCB

36 Power 4: Timing Estimation, Closure Timing Closure Meeting (or exceeding!) the timing estimate From The circuit and physical design of the POWER4 microprocessor, IBM J Res and Dev, 46:1, Jan 2002, JD Warnock et al CS 250 L4: Timing UC Regents Spring 2016 UCB

37 Floorplaning: essential to meet timing CS 250 L4: Timing (Intel XScale 80200) UC Regents Spring 2016 UCB

38

39 Timing Analysis Tools Static Timing Analysis: Tools use delay models for gates and interconnect Traces through circuit paths Cell delay model capture For each input/output pair, internal delay (output load independent) output dependent delay Standalone tools (PrimeTime) and part of logic synthesis Back-annotation takes information from results of place and route to improve accuracy of timing analysis DC in topographical mode uses preliminary layout information to model interconnect parasitics Prior versions used a simple fan-out model of gate loading delay output load Lecture 04, Timing 39 CS250, UC Berkeley Sp16

40 clk Hold-time Violations d FF q Lecture 04, Timing Some state elements have positive hold time requirements How can this be? Fast paths from one state element to the next can create a violation (Think about shift registers!) CAD tools do their best to fix violations by inserting delay (buffers) Of course, if the path is delayed too much, then cycle time suffers Difficult because buffer insertion changes layout, which changes path delay 40 CS250, UC Berkeley Sp16

41 26 Billion Moore s Law 1 Million Synchronous logic on a single clock domain is not practical for a 26 billion transistor design 2 Thousand

42 GALS: Globally Asynchronous, Locally Synchronous Synchronous modules typically 50K-1M gates, so that the synchronous logic approach works well without requiring heroics Examples

43 IBM Power 5 CPU - Dynamically Scheduled Program counter Instruction cache Instruction translation Alternate Branch history tables Instruction buffer 0 Instruction buffer 1 Branch prediction Return stack Thread priority Target cache Group formation Instruction decode Dispatch Sharedregister mappers Dynamic instruction selection Shared issue queues Read sharedregister files Shared execution units LSU0 FXU0 LSU1 FXU1 FPU0 FPU1 BXU CRL Write sharedregister files Data Translation Group completion Data translation Data Cache Store queue Data cache L2 cache Shared by two threads Thread 0 resources Thread 1 resources Stars denote FIFOs that create separate synchronous domains An example of how architecture and circuits work together

44 Rocket uses GALS for accelerator interface Your project interfaces with the RISC-V pipeline and the memory system using FIFOs Your timing closure is independent of the CPU logic domain

45 Conclusion Timing Optimization: You start with a target on clock period What control do you have? Biggest effect is RTL manipulation ie, how much logic to put in each pipeline stage We will be talking later about how to manipulate RTL for better timing results In most cases, the tools will do a good job at logic/ circuit level: Logic level manipulation Transistor sizing Buffer insertion But some cases may be difficult and you may need to help Lecture 04, Timing 45 CS250, UC Berkeley Sp16

46 End of Physical Realities part 1 Timing Lecture 04, Timing 46 CS250, UC Berkeley Sp16

47 Simple exercises for gaining intuition about timing for your process + EDA tools Thanks to Bhupesh Dasila, Open-Silicon Bangalore

48 Synthesize gate chains using hand-specified library cells Exercises cell library and place and route tools weak NANDs 40 nm process 29 ps/gate av Synthesis constrained to 2ns clock Lets you know how many levels of logic you can use in the best case Delay of a chain of 3 inverters with strongest strength Guaranteed not to exceed speed Chain lengths Helps you see through Technology X Bhupesh Dasila

49 Force P&L to drive a long wire with a known buffer cell Bhupesh Dasila Vary driver strength, wire length, metal layer Distributed RC is the Shows the square of maximum the length distance two is clearly gates can be seen! placed and still meet your clock period

50 Driving Large Loads Large fanout nets: clocks, resets, memory bit lines, off-chip Relatively small driver results in long rise time (and thus large gate delay) Strategy: Staged Buffers Optimal trade-off between delay per stage and total number of stages fanout of 4-6 per stage Lecture 04, Timing CS 250 L3: Timing UC CS250, Regents UC Fall Berkeley 2013 Fall UCB 12 12

51 Register file: Synthesize, or use SRAM? sel(ws) 5 WE D E M U X clk wd R0 - The constant 0 Q 32 D D D En En En R1 R2 R31 Q Q Q Speed will depend on how large it lays out two read ports sel(rs1) M U X M U X 5 32 rd1 sel(rs2) 5 32 rd2 CS 250 L4: Timing UC Regents Spring 2016 UCB

52 Synthesized, custom, and SRAM-based register files, 40nm For small register files, logic synthesis is competitive Synthesis Not clear if the SRAM data points include area for register control, etc SRAMS Register file compiler Figure 3: Using the raw area data, the physical implementation team can get a more accurate area estimation early in the RTL development stage for floorplanning purposes This shows an example of this graph for a 1-port, 32-bit-wide SRAM Bhupesh Dasila

53 Today: Timing insights for your project What we re not doing If this class was EE 241 and your project was an SRAM: You could see through down to the layout Timing? Use SPICE on this hand-drawn schematic

54 Technology X: The CS 250 timing challenge What we are doing ---> If your accelerator is too slow two options: Top-down: Rework high-level micro-architecture Let Technology X keep its job Today Bottom-up: Take control away from logic synthesis Use HDL as textual schematic Also, use command-line tool flags Logic Synthesis Sometimes necessary Ben is the expert, ask in discussion section

CS 250! VLSI System Design

CS 250! VLSI System Design CS 250! VLSI System Design Lecture 3 Timing 2014-9-4! Professor Jonathan Bachrach! slides by John Lazzaro TA: Colin Schmidt www-insteecsberkeleyedu/~cs250/ UC Regents Fall 2013/1014 UCB everything doesn

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

Exploiting Clock Skew Scheduling for FPGA

Exploiting Clock Skew Scheduling for FPGA Exploiting Clock Skew Scheduling for FPGA Sungmin Bae, Prasanth Mangalagiri, N. Vijaykrishnan Email {sbae, mangalag, vijay}@cse.psu.edu CSE Department, Pennsylvania State University, University Park, PA

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

ASIC Design (7v81) Spring 2000

ASIC Design (7v81) Spring 2000 ASIC Design (7v81) Spring 2000 Lecture 1 (1/21/2000) General information General description We study the hardware structure, synthesis method, de methodology, and design flow from the application to ASIC

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem

ReCoSoC Experimental Fault Injection based on the Prototyping of an AES Cryptosystem ReCoSoC 2010 5th International Workshop on Reconfigurable Communication-centric Systems on Chip Experimental Fault Injection based on the Prototyping of an AES Cryptosystem Jean- Baptiste Rigaud Jean-Max

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Lecture 10: Circuit Families

Lecture 10: Circuit Families Lecture 10: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing

More information

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1 Advanced Topics Packaging Power Distribution I/O ECE 261 James Morizio 1 Package functions Packages Electrical connection of signals and power from chip to board Little delay or distortion Mechanical connection

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Power distribution techniques for dual-vdd circuits Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Outline Motivation for multiple supply design Implications of using multiple

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

Composite Layout CS/ECE 5710/6710. N-type from the top. N-type Transistor. Polysilicon Mask. Diffusion Mask

Composite Layout CS/ECE 5710/6710. N-type from the top. N-type Transistor. Polysilicon Mask. Diffusion Mask Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic

CMPEN 411 VLSI Digital Circuits Spring Lecture 06: Static CMOS Logic MPEN 411 VLSI Digital ircuits Spring 2012 Lecture 06: Static MOS Logic [dapted from Rabaey s Digital Integrated ircuits, Second Edition, 2003 J. Rabaey,. handrakasan,. Nikolic] Sp12 MPEN 411 L06 S.1 Review:

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Allegro Sigrity SI / PI Overview

Allegro Sigrity SI / PI Overview Allegro Sigrity SI / PI Overview Brad Griffin Allegro Product Marketing February, 2015 1 2012 Cadence Design Systems, Inc. All rights reserved. Agenda Allegro Sigrity Signal Integrity Solutions Allegro

More information

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT

128Mb Synchronous DRAM. Features High Performance: Description. REV 1.0 May, 2001 NT5SV32M4CT NT5SV16M8CT NT5SV8M16CT Features High Performance: f Clock Frequency -7K 3 CL=2-75B, CL=3-8B, CL=2 Single Pulsed RAS Interface Fully Synchronous to Positive Clock Edge Four Banks controlled by BS0/BS1 (Bank Select) Units 133

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

UC Berkeley CS61C : Machine Structures

UC Berkeley CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 20 Synchronous Digital Systems Blu-ray vs HD-DVD war over? As you know, there are two different, competing formats for the next

More information

Integrated System Models Graph Trace Analysis Distributed Engineering Workstation

Integrated System Models Graph Trace Analysis Distributed Engineering Workstation Integrated System Models Graph Trace Analysis Distributed Engineering Workstation Robert Broadwater dew@edd-us.com 1 Model Based Intelligence 2 Integrated System Models Merge many existing, models together,

More information

Probability-Driven Multi bit Flip-Flop Integration With Clock Gating

Probability-Driven Multi bit Flip-Flop Integration With Clock Gating Probability-Driven Multi bit Flip-Flop Integration With Clock Gating Abstract: Data-driven clock gated (DDCG) and multi bit flip-flops (MBFFs) are two low-power design techniques that are usually treated

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management

Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management Flip-Flop Grouping in Data-Driven Clock Gating for Dynamic Power Management N.Indhumathi 1, Dr.S.Nirmala 2 PG Student [Applied Electronics], Dept. of ECE, Muthayammal Engineering College, Namakkal, Tamilnadu,

More information

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 15 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia

Investigation of timing constraints violation as a fault injection means. ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Investigation of timing constraints violation as a fault injection means ZUSSA Loïc, DUTERTRE Jean-Max, CLEDIERE Jessy, ROBISSON Bruno, TRIA Assia Context Timing constraints of synchronous digital IC Timing

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

140 WDD PRECHARGE ENABLE Y-40s

140 WDD PRECHARGE ENABLE Y-40s USOO5856752A United States Patent (19) 11 Patent Number: Arnold (45) Date of Patent: *Jan. 5, 1999 54) DRIVER CIRCUIT WITH PRECHARGE AND ACTIVE HOLD 5,105,104 5,148,047 4/1992 Eisele et al.... 326/86 9/1992

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling

Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling Downloaded from vbn.aau.dk on: marts 07, 2019 Aalborg Universitet Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling Tomar, Puneet; Gupta, Sheigali; Kaur, Amanpreet; Dabas, Sweety;

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

Chapter 1: Battery management: State of charge

Chapter 1: Battery management: State of charge Chapter 1: Battery management: State of charge Since the mobility need of the people, portable energy is one of the most important development fields nowadays. There are many types of portable energy device

More information

Introduction to Digital Techniques

Introduction to Digital Techniques to Digital Techniques Dan I. Porat, Ph.D. Stanford Linear Accelerator Center Stanford University, California Arpad Barna, Ph.D. Hewlett-Packard Laboratories Palo Alto, California John Wiley and Sons New

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

The next revolution in simulation. Dr. Jan Leuridan Executive Vice-President, CTO LMS International

The next revolution in simulation. Dr. Jan Leuridan Executive Vice-President, CTO LMS International The next revolution in simulation Dr. Jan Leuridan Executive Vice-President, CTO LMS International The industry is facing faster and broader change (IBM CEO Survey 2008) Sustainability Radical new product

More information

Embedded system design for a multi variable input operations

Embedded system design for a multi variable input operations IOSR Journal of Engineering (IOSRJEN) ISSN: 2250-3021 Volume 2, Issue 8 (August 2012), PP 29-33 Embedded system design for a multi variable input operations Niranjan N. Parandkar, Abstract: - There are

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

SHARED INDUCTOR POWER CONVERTERS

SHARED INDUCTOR POWER CONVERTERS SHARED INDUCTOR POWER CONVERTERS For Use In Mobile Battery Charging and Backlighting Andrew Goessling Power In Mobile Devices Do you: Have a smart phone? Care about its size? Care about its price? Expect

More information

2 Dynamics Track User s Guide: 06/10/2014

2 Dynamics Track User s Guide: 06/10/2014 2 Dynamics Track User s Guide: 06/10/2014 The cart and track. A cart with frictionless wheels rolls along a 2- m-long track. The cart can be thrown by clicking and dragging on the cart and releasing mid-throw.

More information

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection Purpose This document will provide an introduction to power supply cables and selecting a power cabling architecture for a QuickStick 100

More information

Timing-Driven Steiner Trees are (Practically) Free

Timing-Driven Steiner Trees are (Practically) Free Timing-Driven Steiner Trees are (Practically) Free Charles J. Alpert - IBM Austin Research Lab Andrew B. Kahng - Blaze DFM Inc. C. N. Sze - IBM Austin Research Lab Qinke Wang UCSD for Timing Estimation

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

Low Inductance Capacitors

Low Inductance Capacitors ow Inductance Capacitors Introduction he signal integrity characteristics of a Power Delivery Network (PDN) are becoming critical aspects of board level and semiconductor package designs due to higher

More information

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage

(FPGA) based design for minimizing petrol spill from the pipe lines during sabotage IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V3 PP 26-30 www.iosrjen.org (FPGA) based design for minimizing petrol spill from the pipe

More information

Reduce Haul Truck Fuel Consumption

Reduce Haul Truck Fuel Consumption Location: Brazil Objective: To reduce haul truck fuel consumption outcome: 82,795 L annual fuel savings by eliminating excessive engine throttle during dumping Reduce Haul Truck Fuel Consumption CASE STUDY

More information

Advanced Monolithic Systems

Advanced Monolithic Systems Advanced Monolithic Systems FEATURES Adjustable or Fixed Output 1.5, 2.5, 2.85, 3.0, 3.3, 3.5 and 5.0 Output Current of 10A Low Dropout, 500m at 10A Output Current Fast Transient Response Remote Sense

More information

Programmable Comparator Options for the isppac-powr1220at8

Programmable Comparator Options for the isppac-powr1220at8 November 2005 Introduction Application Note AN6069 Lattice s isppac -POWR1220AT8 offers a wide range of features for managing multiple power supplies in a complex system. This application note outlines

More information

Fast Orbit Feedback (FOFB) at Diamond

Fast Orbit Feedback (FOFB) at Diamond Fast Orbit Feedback (FOFB) at Diamond Guenther Rehm, Head of Diagnostics Group 29/06/2007 FOFB at Diamond 1 Ground, Girder and Beam Motion 29/06/2007 FOFB at Diamond 2 Fast Feedback Design Philosophy Low

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications

8Mbit to 256MBit HyperMemory SRAM and FIFO. Configurations. Features. Introduction. Applications 8Mbit to 256MBit HyperMemory SRAM and FIFO Features Super high-speed Static-Memory Can be configured as a standalone FIFO Supports multiple IO Standards (HSTL, SSTL, LVCMOS/ LVTTL) Access time as low as

More information

Engineering Innovation Center EIC. Electronic Component Selection

Engineering Innovation Center EIC. Electronic Component Selection Electronic Component Selection Why is it important to choose the right part? Cost Efficiency This PCB fire caused by choosing the wrong capacitor Cap wasn t rated for voltage and failed short >100 Amps

More information

Application challenges and potential solutions for robust radar sensors

Application challenges and potential solutions for robust radar sensors Application challenges and potential solutions for robust radar sensors Dirk Steinbuch Robert Bosch GmbH Dirk.Steinbuch@de.bosch.com WS12: EuMIC - SiGe for mm-wave and THz Content System Level Challenges

More information

Protection of Power Electronic Multi Converter Systems in AC and DC Applications

Protection of Power Electronic Multi Converter Systems in AC and DC Applications Protection of Power Electronic Multi Converter Systems in AC and DC Applications Prof. Norbert Grass Technische Hochschule Nürnberg, Institute for Power Electronic Systems, Nuremberg, Germany, Norbert.Grass@th-nuernberg.de

More information

Technical Article. How to implement a low-cost, accurate state-of-charge gauge for an electric scooter. Manfred Brandl

Technical Article. How to implement a low-cost, accurate state-of-charge gauge for an electric scooter. Manfred Brandl Technical How to implement a low-cost, accurate state-of-charge gauge for an electric scooter Manfred Brandl How to implement a low-cost, accurate state-of-charge gauge for an electric scooter Manfred

More information

Design-Technology Co-Optimization for 5nm Node and Beyond

Design-Technology Co-Optimization for 5nm Node and Beyond Design-Technology Co-Optimization for 5 Node and Beyond Semicon West 26 Victor Moroz July 2, 26 Why Scaling? When What scales? When does it end? 965 999 2 Moore s Law (Fairchild): Double transistor density

More information

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted.

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Introduction Presenter Thomas Desbarats Business Development Simcenter System

More information

Solar Power Energy Harvesting Electrical Integration

Solar Power Energy Harvesting Electrical Integration WHITEPAPER Solar Power Energy Harvesting Electrical Integration Contents Introduction... 1 Solar Cell Electrical Characteristics... 2 Energy Harvesting System Topologies... 4 Design Guide... 6 Indoor Single

More information

Control Scheme for Grid Connected WECS Using SEIG

Control Scheme for Grid Connected WECS Using SEIG Control Scheme for Grid Connected WECS Using SEIG B. Anjinamma, M. Ramasekhar Reddy, M. Vijaya Kumar, Abstract: Now-a-days wind energy is one of the pivotal options for electricity generation among all

More information

An Interleaved Dual-Battery Power Supply for Battery-Operated Electronics

An Interleaved Dual-Battery Power Supply for Battery-Operated Electronics USC Low Power CAD An Interleaved Dual-Battery Power Supply for Battery-Operated Electronics Qing Wu, Qinru Qiu and Massoud Pedram Department of Electrical Engineering-Systems University of Southern California

More information

AltiumLive 2017: Adopting Early Analysis of Your Power Delivery Network

AltiumLive 2017: Adopting Early Analysis of Your Power Delivery Network AltiumLive 2017: Adopting Early Analysis of Your Power Delivery Network Andy Haas Product Manager, Analysis John Magyar Sr. Field Applications Engineer What is a PDN? PDN is an acronym for Power Delivery

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

Highly dynamic control of a test bench for highspeed train pantographs

Highly dynamic control of a test bench for highspeed train pantographs PAGE 26 CUSTOMERS Highly dynamic control of a test bench for highspeed train pantographs Keeping Contact at 300 km/h Electric rail vehicles must never lose contact with the power supply, not even at the

More information

Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth

Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth Why Japan remains skeptical of restructuring Study of Electricity Market Bidding Characteristics for Modeling Generation Capacity Growth Satoru Ihara Retired (urotas@ieee.org) Tetsuo Sasaki, Toshihisa

More information

Thermal Management: Key-Off & Soak

Thermal Management: Key-Off & Soak Thermal Management: Key-Off & Soak A whitepaper discussing the issues automotive engineers face every day attempting to accurately predict thermal conditions during thermal transients Exa Corporation 2015/16

More information

CHAPTER 19 DC Circuits Units

CHAPTER 19 DC Circuits Units CHAPTER 19 DC Circuits Units EMF and Terminal Voltage Resistors in Series and in Parallel Kirchhoff s Rules EMFs in Series and in Parallel; Charging a Battery Circuits Containing Capacitors in Series and

More information

AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine

AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine AN-1166 Lithium Polymer Battery Charger using GreenPAK State Machine This note describes the design of a complete charging circuit. A single cell Lithium Polymer (LiPol) battery is charged in two stages:

More information

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM

HYB25D256400/800AT 256-MBit Double Data Rata SDRAM 256-MBit Double Data Rata SDRAM Features CAS Latency and Frequency Maximum Operating Frequency (MHz) CAS Latency DDR266A -7 DDR200-8 2 133 100 2.5 143 125 Double data rate architecture: two data transfers

More information

Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K

Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K Modelling and Control of Ultracapacitor based Bidirectional DC-DC converter systems PhD Scholar : Saichand K Advisor: Prof. Vinod John Department of Electrical Engineering, Indian Institute of Science,

More information

UNIVERSITÉ DE MONCTON FACULTÉ D INGÉNIERIE. Moncton, NB, Canada PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY

UNIVERSITÉ DE MONCTON FACULTÉ D INGÉNIERIE. Moncton, NB, Canada PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY FACULTÉ D INGÉNIERIE PROJECT BREAKPOINT 2015 IGVC DESIGN REPORT UNIVERSITÉ DE MONCTON ENGINEERING FACULTY IEEEUMoncton Student Branch UNIVERSITÉ DE MONCTON Moncton, NB, Canada 15 MAY 2015 1 Table of Content

More information

Earl Sch yang y Lee, 5,457,342 10/1995 Herbst, II /712

Earl Sch yang y Lee, 5,457,342 10/1995 Herbst, II /712 US005920264A United States Patent (19) 11 Patent Number: Kim et al. (45) Date of Patent: Jul. 6, 1999 54) COMPUTER SYSTEM PROTECTION 5,189,314 2/1993 Georgiou et al.... 307/271 DEVICE 5,287.292 2/1994

More information

MAX PLATFORM FOR AUTONOMOUS BEHAVIORS

MAX PLATFORM FOR AUTONOMOUS BEHAVIORS MAX PLATFORM FOR AUTONOMOUS BEHAVIORS DAVE HOFERT : PRI Copyright 2018 Perrone Robotics, Inc. All rights reserved. MAX is patented in the U.S. (9,195,233). MAX is patent pending internationally. AVTS is

More information

( DOC No. HX8705-B-DS ) HX8705-B

( DOC No. HX8705-B-DS ) HX8705-B ( DOC No. HX8705-B-DS ) HX8705-B 800x600CH EPD Source+Gate Driver Preliminary version 01 800x600CH EPD Source+Gate Driver Preliminary Version 01 1. General Description The HX8705-B is a 800-channel outputs

More information

Cordless Drill Motor Control with Battery Charging Using Z8 Encore! F0830 Reference Design

Cordless Drill Motor Control with Battery Charging Using Z8 Encore! F0830 Reference Design Application Note Cordless Drill Motor Control with Battery Charging Using Z8 Encore! F0830 Reference Design AN025504-0910 Abstract Currently, most hand-held electric drilling machines operating on batteries

More information

Maximizing the Power Efficiency of Integrated High-Voltage Generators

Maximizing the Power Efficiency of Integrated High-Voltage Generators Maximizing the Power Efficiency of Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes how the power efficiency of fully integrated Dickson charge pumps in high- IC technologies

More information

INTRODUCTION. I.1 - Historical review.

INTRODUCTION. I.1 - Historical review. INTRODUCTION. I.1 - Historical review. The history of electrical motors goes back as far as 1820, when Hans Christian Oersted discovered the magnetic effect of an electric current. One year later, Michael

More information

EEC 216 Lecture #10: Power Sources. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Power Sources. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #10: Power Sources Rajeevan Amirtharajah University of California, Davis Announcements Outline Review: Adiabatic Charging and Energy Recovery Lecture 9: Dynamic Energy Recovery Logic Lecture

More information

Factory Data: MOSFET Controls Supercapacitor Power Dissipation

Factory Data: MOSFET Controls Supercapacitor Power Dissipation Factory Data: MOSFET Controls Supercapacitor Power Dissipation By ROBERT CHAO, President and CEO, Advanced Linear Devices Recently revealed independent testing data shows that SAB MOSFET arrays designed

More information