Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Size: px
Start display at page:

Download "Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University"

Transcription

1 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018

2 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today transition from sequential to parallel enjoy(you will not be tested on this) Notices Midterm 2 on Monday; Pick up practice midterm solutions HW4 past due; HW5 out next Wed Handout #14: HW4 solutions Readings (advanced optional) MIPS R10K Superscalar Microprocessor, Yeager Synthesis Lectures: Processor Microarchitecture: An Implementation Perspective, 2010

3 Parallelism Defined T 1 (work measured in time): time to do work with 1 PE T (critical path): time to do work with infinite PEs T bounded by dataflow dependence Average parallelism: P avg = T 1 / T For a system with p PEs T p max{ T 1 /p, T } When P avg >>p T p T 1 /p, aka linear speedup x = a + b; y = b * 2 z =(x y) * (x+y) a x + - * *2 + b y S18 L20 S3, James C. Hoe, CMU/ECE/CALCM, 2018

4 ILP: Instruction Level Parallelism Average ILP = T 1 / T = no. instruction / no. cyc required code1: ILP = 1 i.e., must execute serially code2: ILP = 3 i.e., can execute at the same time code1: r1 r2 + 1 r3 r1 / 17 r4 r0 - r3 code2: r1 r2 + 1 r3 r9 / 17 r4 r0 - r S18 L20 S4, James C. Hoe, CMU/ECE/CALCM, 2018

5 Exploiting ILP for Performance Scalar in order pipeline with forwarding operation latency (OL)= 1 base cycle peak IPC = 1 required ILP 1 to avoid stall instruction stream base cyc S18 L20 S5, James C. Hoe, CMU/ECE/CALCM, 2018

6 Superpipelined Execution OL = M minor cycle; same as 1 base cycle peak IPC = 1 per minor cycle required ILP M instruction stream base cycle = M minor cycles minor cycle IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF IF base cyc S18 L20 S6, James C. Hoe, CMU/ECE/CALCM, 2018 Achieving full performance requires always finding M independent instructions in a row

7 Superscalar (Inorder) Execution OL = 1 base cycle peak IPC = N required ILP N instruction stream Base cyc S18 L20 S7, James C. Hoe, CMU/ECE/CALCM, 2018 Achieving full performance requires finding N independent instructions on every cycle

8 Limitations of Inorder Pipeline Achieved IPC of inorder pipelines degrades rapidly as NxM approaches ILP Despite high peak IPC potential, pipeline never full due to frequent dependency stalls!! instruction stream S18 L20 S8, James C. Hoe, CMU/ECE/CALCM, 2018

9 Out of Order Execution ILP is scope dependent r1 r2 + 1 r3 r1 / 17 r4 r0 r3 r11 r r13 r19 / 17 r14 r0 r20 ILP=1 ILP=2 Accessing ILP=2 requires (1) larger scheduling window and (2) out of order execution S18 L20 S9, James C. Hoe, CMU/ECE/CALCM, 2018

10 Dataflow Execution Ordering Maintain a buffer of many pending instructions, a.k.a. reservation stations (RSs) wait for functional unit to be free wait for register RAW hazards to resolve (i.e., required input operands to be produced) Issue instructions for execution out of order select instructions in RS whose operands are available give preference to older instructions (heuristical) A completing instruction frees pending, RAWdependent instructions to execute S18 L20 S10, James C. Hoe, CMU/ECE/CALCM, 2018

11 Tomasulo s Algorithm [IBM 360/91, 1967] Dispatch an instruction to a RS slot after decode decode received from RF either operand value or placeholder RS tag mark RF dest with RS tag of current inst s RS slot A inst in RS can issue when all operand values ready Completing instruction, in addition to updating RF dest, broadcast its RS tag and value to all RS slots RS slot holding matching RS tag placeholder pickup value S18 L20 S11, James C. Hoe, CMU/ECE/CALCM, 2018

12 Instruction Reorder Buffer (ROB) Program order bookkeeping (circular buffer) instructions enter and leave in program order tracks 10s to 100s of in flight instructions in different stages of execution Dynamic juggling of state and dependency oldest finished instruction commit architectural state updates on exit all ROB entries considered speculative due to potential for exceptions and mispredictions S18 L20 S12, James C. Hoe, CMU/ECE/CALCM, 2018 oldest youngest mispredict youngest

13 In order vs Speculative State In order state: cumulative architectural effects of all instructions committed in order so far can never be undone!! Speculative state, as viewed by a given inst in ROB in order state + effects of older insts in ROB effects of some older insts may be pending Speculative state effects must be reversible remember both in order and speculative values for an RF register (may have multiple speculative values) store inst updates memory only at commit time Discard younger speculative state to rewind execution to oldest remaining inst in ROB S18 L20 S13, James C. Hoe, CMU/ECE/CALCM, 2018

14 Removing False Dependencies With out of order execution comes WAW and WAR hazards Anti and output dependencies are false dependencies on register names rather than data r 3 r 1 op r 2 r 5 r 3 op r 4 r 3 r 6 op r 7 With infinite number of registers, anti and output dependencies avoidable by using a new register for each new value S18 L20 S14, James C. Hoe, CMU/ECE/CALCM, 2018

15 Register Renaming: Example Original r1 r2 / r3 r4 r1 * r5 r1 r3 + r6 r3 r1 r5 Renamed r1 r2 / r3 r4 r1 * r5 r8 r3 + r6 r9 r8 r S18 L20 S15, James C. Hoe, CMU/ECE/CALCM, 2018

16 On the fly HW Register Renaming ISA name e.g. r12 rename table rename t56 physical registers (t0... t63) Maintain mapping from ISA reg. names to physical registers When decoding an instruction that updates r x : allocate unused physical register t y to hold inst result set new mapping from r x to t y younger instructions using r x as input finds t y De allocate a physical register for reuse r1 r2 / r3 when it is never needed again? r4 r1 * r5 ^^^^^when is this exactly? r1 r3 + r S18 L20 S16, James C. Hoe, CMU/ECE/CALCM, 2018

17 Control Speculation Modern CPUs can have over 100 instructions in out of order execution scope if 14% of avg. instruction mix is control flow, what is average distance between control flow? instruction fetch must make multiple levels of branch predictions (condition and target) to fetch far ahead of execution and commit Large OOO is more about cache misses than ILP!!! keep working around long cache miss stalls get started on future cache misses as early as possible (to overlap/hide latency of cache misses) S18 L20 S17, James C. Hoe, CMU/ECE/CALCM, 2018

18 Speculative Out of order Execution A mispredicted branch after resolution must be rewound and restarted Much trickier than 5 stage pipeline... can rewind to an intermediate speculative state a rewound branch could still be speculative and itself be discarded by another rewind! rewind must reestablish both architectural state (register value) and microarchitecture state (e.g., rename table) rewind/restart must be fast (not infrequent) Exception rewind is much easier, why? S18 L20 S18, James C. Hoe, CMU/ECE/CALCM, 2018

19 Supercalarized BP: 2 way example tag BTBidx cache block offset last inst in cache block? Tag Table Branch History Table (BHT) Branch Target Buffer (BTB) = hit PC PC S18 L20 S19, James C. Hoe, CMU/ECE/CALCM, 2018 first? taken? 1 0 predpc

20 Trace Caching static 90% dynamic 10% E A C D F G B compiler static 10% static 90% dynamic A B C D E F G i cache line boundaries hardware dynamic A B C D F G trace cache line boundaries S18 L20 S20, James C. Hoe, CMU/ECE/CALCM, 2018

21 Prototypical Superscalar OOO Datapath wide inst fetch + predict wide inst decode rename rename ROB RS (Int insts) physical registers (Integer) RS (FP insts) physical registers (FP) ALU1 ALU2 LD/ST FPU1 FPU S18 L20 S21, James C. Hoe, CMU/ECE/CALCM, 2018 Read [Yeager 1996, IEEE Micro] if you are interested

22 At the 2005 Peak of Superscalar OOO Alpha AMD Opteron Intel Xeon IBM Power5 MIPS R14000 Intel Itanium2 clock (GHz) issue rate 4 3 (x86) 3 (rop) pipeline int/fp 7/9 9/11 22/24 12/ inst in flight 80 72(rop) 126 rop inorder rename reg /40 32/ transistor (10 6 ) power (W) SPECint ,566 1,521 1, ,590 SPECfp ,591 1,504 2, , S18 L20 S22, James C. Hoe, CMU/ECE/CALCM, 2018 Microprocessor Report, December 2004

23 At peak minus 5 years clock (MHz) Alpha AMD Athlon Intel P4 MIPS R12000 IBM Power3 HP PA8600 SUN Ultra issue rate 4 3 (x86) 3 (rop) pipeline int/fp 7/9 9/11 22/24 6 7/8 7/9 14//15 inst in flight 80 72(rop) 126 rop inorder rename reg inorder transistor (10 6 ) power (W) SPECint SPECfp S18 L20 S23, James C. Hoe, CMU/ECE/CALCM, 2018 Microprocessor Report, December 2000

24 Performance (In)efficiency To hit expected performance target push frequency harder by deepening pipelines used the 2x transistors to build more complicated microarchitectures so fast/deep pipelines don t stall (i.e., caches, BP, superscalar, out of order) The consequence of performance inefficiency is limit of economical cooling [ITRS] 2005, Intel P4 Tehas 150W [Borkar, IEEE Micro, July 1999] S18 L20 S24, James C. Hoe, CMU/ECE/CALCM, 2018

25 Efficiency of Parallel Processing technology normalized power (Watt) Better to replace 1 of this by 2 of these; Or N of these Pentium 4 Power Perf [Energy per Instruction Trends in Intel Microprocessors, Grochowski et al., 2006] S18 L20 S25, James C. Hoe, CMU/ECE/CALCM, 2018 technology normalized performance (op/sec)

26 Moore s Law Era Multicore Era: growing transistor count & aggr. perf; flattened power & seq. perf; lowering freq S18 L20 S26, James C. Hoe, CMU/ECE/CALCM, 2018

27 issue rate pipeline depth inst in flight on chip$ (MB) transistor (10 6 ) AMD 285 2x1 At peak plus 1 year Intel 965 2x2 3 (x86) 4 (rop) 3 (rop) (rop) 2x1 Intel (rop) 2x power (W) SPECint 2000 per core 1942 (1556 *) 1870 SPECfp 2000 per core 2260 (1694 +) 2232 Intel Itanium2 clock (GHz) inorder 2x IBM P5+ 2x MIPS R x SUN Ultra4 cores/threads 2x2 2x2 2x1 96(rop) inorder * 3086/ according to S18 L20 S27, James C. Hoe, CMU/ECE/CALCM, 2018 Microprocessor Report, Aug 2006

28 At peak plus 3 years cores/threads AMD Opteron 8360SE 4x1 Intel Xeon X7460 6x1 Intel Itanium x2 IBM P5 2x2 IBM P6 2x2 Fijitsu SPARC 7 4x2 SUN T2 8x8 clock (GHz) issue rate 3 (x86) 4 (rop) pipeline depth 12/ /12 out of order 72(rop) 96(rop) inorder 200 limited 64 inorder on chip$ (MB) transistor (10 6 ) power max(w) 105 SPECint 2006 per core/total 14.4/170 SPECfp /156 per core/total /274 22/ / / / /229 > / / / / /142 / S18 L20 S28, James C. Hoe, CMU/ECE/CALCM, 2018 Microprocessor Report, Oct 2008

29 On to Mainstream Parallelism in Multicores and Manycores Core $ Core $ Core $ Fat Interconnect Big L2 Bigger L S18 L20 S29, James C. Hoe, CMU/ECE/CALCM, 2018 Remember, we got here because we need to compute faster while using less energy per operation

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士 Computer Architecture 计算机体系结构 Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I Chao Li, PhD. 李超博士 SJTU-SE346, Spring 2018 Review ISA, micro-architecture, physical design Evolution of ISA CISC vs

More information

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS Lipasti, artin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar GAS STATION Pipelining II Fall 2007 Prof. Thomas Wenisch http://www.eecs.umich.edu/courses/eecs470 Slides developed in part by Profs. Austin,

More information

Tomasulo-Style Register Renaming

Tomasulo-Style Register Renaming Tomasulo-Style Register Renaming ldf f0,x(r1) allocate RS#4 map f0 to RS#4 mulf f4,f0, allocate RS#6 ready, copy value f0 not ready, copy tag Map Table f0 f4 RS#4 RS T V1 V2 T1 T2 4 REG[r1] 6 REG[] RS#4

More information

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3 David Wentzlaff Department of Electrical Engineering Princeton University 1 Agenda SpeculaJon and Branches Register Renaming Memory DisambiguaJon

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer.

To read more. CS 6354: Tomasulo. Intel Skylake. Scheduling. How can we reorder instructions? Without changing the answer. To read more CS 6354: Tomasulo 21 September 2016 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer Architecture:

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

CS 6354: Tomasulo. 21 September 2016

CS 6354: Tomasulo. 21 September 2016 1 CS 6354: Tomasulo 21 September 2016 To read more 1 This day s paper: Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units Supplementary readings: Hennessy and Patterson, Computer

More information

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 15 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 15 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars

CS 152 Computer Architecture and Engineering. Lecture 14 - Advanced Superscalars CS 152 Comuter Architecture and Engineering Lecture 14 - Advanced Suerscalars Krste Asanovic Electrical Engineering and Comuter Sciences University of California at Berkeley htt://www.eecs.berkeley.edu/~krste

More information

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley.

CS152: Computer Architecture and Engineering Introduction to Pipelining. October 22, 1997 Dave Patterson (http.cs.berkeley. CS152: Computer Architecture and Engineering Introduction to Pipelining October 22, 1997 Dave Patterson (http.cs.berkeley.edu/~patterson) lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/ cs 152

More information

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 10 Instruction-Level Parallelism Part 3 ECE 552 / CPS 550 Advanced Comuter Architecture I Lecture 10 Instruction-Level Parallelism Part 3 Benjamin Lee Electrical and Comuter Engineering Duke University www.duke.edu/~bcl15 www.duke.edu/~bcl15/class/class_ece252fall12.html

More information

COSC 6385 Computer Architecture. - Tomasulos Algorithm

COSC 6385 Computer Architecture. - Tomasulos Algorithm COSC 6385 Computer Architecture - Tomasulos Algorithm Fall 2008 Analyzing a short code-sequence DIV.D F0, F2, F4 ADD.D F6, F0, F8 S.D F6, 0(R1) SUB.D F8, F10, F14 MUL.D F6, F10, F8 1 Analyzing a short

More information

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science.

Chapter 3: Computer Organization Fundamentals. Oregon State University School of Electrical Engineering and Computer Science. Chapter 3: Computer Organization Fundamentals Prof. Ben Lee Oregon State University School of Electrical Engineering and Computer Science Chapter Goals Understand the organization of a computer system

More information

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon]

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Anne Bracy CS 3410 Computer Science Cornell University [K. Bala, A. Bracy, S. McKee, E. Sirer, H. Weatherspoon] Prog. Mem PC +4 inst Reg. File 5 5 5 control ALU Data Mem Fetch Decode Execute Memory WB

More information

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold Pipelining Readings: 4.5-4.8 Example: Doing the laundry Ann, Brian, Cathy, & Dave A B C D each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS

PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS PIPELINING: BRANCH AND MULTICYCLE INSTRUCTIONS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Homework 1 submission

More information

Improving Performance: Pipelining!

Improving Performance: Pipelining! Iproving Perforance: Pipelining! Meory General registers Meory ID EXE MEM WB Instruction Fetch (includes PC increent) ID Instruction Decode + fetching values fro general purpose registers EXE EXEcute arithetic/logic

More information

General Processor Information

General Processor Information General Processor Information Copyright 1994-2000 Tom Burd Last Modified: April 11, 2000 (DISCLAIMER: SPEC performance numbers are the highest rated for a given processor version. Actual performance depends

More information

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Study Period 2, 29 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Mafijul Islam Department of Computer Science and Engineering November 12, 29 Study Period 2, 29 Goals: To understand

More information

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs

Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Fixing the Hyperdrive: Maximizing Rendering Performance on NVIDIA GPUs Louis Bavoil, Principal Engineer Booth #223 - South Hall www.nvidia.com/gdc Full-Screen Pixel Shader SM TEX L2 DRAM CROP SM = Streaming

More information

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design

ENGN1640: Design of Computing Systems Topic 05: Pipeline Processor Design ENGN64: Design of Computing Systems Topic 5: Pipeline Processor Design Professor Sherief Reda http://scale.engin.brown.edu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

General Processor Information

General Processor Information General Information Copyright 1994-2000 Tom Burd Last Modified: January 10, 2001 (DISCLAIMER: SPEC performance numbers are the highest rated for a given processor version. Actual performance depends on

More information

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to Copyright 1996 IEEE. Published in the Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques, October 21-23 1996, Boston, USA. Personal use of this material is permitted.

More information

Hakim Weatherspoon CS 3410 Computer Science Cornell University

Hakim Weatherspoon CS 3410 Computer Science Cornell University Hakim Weatherspoon CS 3410 Computer Science Cornell University The slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, McKee, and Sirer. memory inst register

More information

Helping Moore s Law: Architectural Techniques to Address Parameter Variation

Helping Moore s Law: Architectural Techniques to Address Parameter Variation Helping Moore s Law: Architectural Techniques to Address Parameter Variation Computer Science Department University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/~teodores Technology scaling

More information

Chapter 2 ( ) -Revisit ReOrder Buffer -Exception handling and. (parallelism in HW)

Chapter 2 ( ) -Revisit ReOrder Buffer -Exception handling and. (parallelism in HW) Comuter Architecture A Quantitative Aroach, Fifth Edition Chater 2 (2.6-2.11) -Revisit ReOrder Buffer -Excetion handling and (seculation in hardware) -VLIW and EPIC (seculation in SW, arallelism in SW)

More information

CS 250! VLSI System Design

CS 250! VLSI System Design CS 250! VLSI System Design Lecture 3 Timing 2014-9-4! Professor Jonathan Bachrach! slides by John Lazzaro TA: Colin Schmidt www-insteecsberkeleyedu/~cs250/ UC Regents Fall 2013/1014 UCB everything doesn

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

Computer Architecture and Parallel Computing 并行结构与计算. Lecture 5 SuperScalar and Multithreading. Peng Liu

Computer Architecture and Parallel Computing 并行结构与计算. Lecture 5 SuperScalar and Multithreading. Peng Liu Comuter Architecture and Parallel Comuting 并行结构与计算 Lecture 5 SuerScalar and Multithreading Peng Liu College of Info. Sci. & Elec. Eng. Zhejiang University liueng@zju.edu.cn Last time in Lecture 04 Register

More information

Improving Memory System Performance with Energy-Efficient Value Speculation

Improving Memory System Performance with Energy-Efficient Value Speculation Improving Memory System Performance with Energy-Efficient Value Speculation Nana B. Sam and Min Burtscher Computer Systems Laboratory Cornell University Ithaca, NY 14853 {besema, burtscher}@csl.cornell.edu

More information

CSCI 510: Computer Architecture Written Assignment 2 Solutions

CSCI 510: Computer Architecture Written Assignment 2 Solutions CSCI 510: Computer Architecture Written Assignment 2 Solutions The following code does compution over two vectors. Consider different execution scenarios and provide the average number of cycles per iterion

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 23 Synchronization 2006-11-16 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ 1 Last Time:

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining

CMU Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining CMU 18-447 Introduction to Computer Architecture, Spring 2013 HW 3 Solutions: Microprogramming Wrap-up and Pipelining Instructor: Prof. Onur Mutlu TAs: Justin Meza, Yoongu Kim, Jason Lin 1 Adding the REP

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

Warped-Compression: Enabling Power Efficient GPUs through Register Compression

Warped-Compression: Enabling Power Efficient GPUs through Register Compression WarpedCompression: Enabling Power Efficient GPUs through Register Compression Sangpil Lee, Keunsoo Kim, Won Woo Ro (Yonsei University*) Gunjae Koo, Hyeran Jeon, Murali Annavaram (USC) (*Work done while

More information

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) 1 T H E A C M I E E E I N T E R N A T I O N A L S Y M P O S I U M O N C O M P U T E R A R C H I T E C T U R E ( I S C A

More information

EECS 583 Class 9 Classic Optimization

EECS 583 Class 9 Classic Optimization EECS 583 Class 9 Classic Optimization University of Michigan September 28, 2016 Generalizing Dataflow Analysis Transfer function» How information is changed by something (BB)» OUT = GEN + (IN KILL) /*

More information

Storage and Memory Hierarchy CS165

Storage and Memory Hierarchy CS165 Storage and Memory Hierarchy CS165 What is the memory hierarchy? L1

More information

CS250 VLSI Systems Design

CS250 VLSI Systems Design CS250 VLSI Systems Design Lecture 4: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Spring 2016 John Wawrzynek with Chris Yarp (GSI) Lecture 04, Timing CS250, UC Berkeley Sp16 What

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Multi Core Processing in VisionLab

Multi Core Processing in VisionLab Multi Core Processing in Multi Core CPU Processing in 25 August 2014 Copyright 2001 2014 by Van de Loosdrecht Machine Vision BV All rights reserved jaap@vdlmv.nl Overview Introduction Demonstration Automatic

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

UC Berkeley CS61C : Machine Structures

UC Berkeley CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 20 Synchronous Digital Systems Blu-ray vs HD-DVD war over? As you know, there are two different, competing formats for the next

More information

CIS 662: Sample midterm w solutions

CIS 662: Sample midterm w solutions CIS 662: Sample midterm w solutions 1. (40 points) A processor has the following stages in its pipeline: IF ID ALU1 MEM1 MEM2 ALU2 WB. ALU1 stage is used for effective address calculation for loads, stores

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 20: Multiplier Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 20: Multiplier Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411

More information

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Pipeline Hazards. See P&H Chapter 4.7. Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Pipeline Hazards See P&H Chapter 4.7 Hakim Weatherspoon CS 341, Spring 213 Computer Science Cornell niversity Goals for Today Data Hazards Revisit Pipelined Processors Data dependencies Problem, detection,

More information

Pipelined MIPS Datapath with Control Signals

Pipelined MIPS Datapath with Control Signals uction ess uction Rs [:26] (Opcode[5:]) [5:] ranch luor. Decoder Pipelined MIPS path with Signals luor Raddr at Five instruction sequence to be processed by pipeline: op [:26] rs [25:2] rt [2:6] rd [5:]

More information

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures

Direct-Mapped Cache Terminology. Caching Terminology. TIO Dan s great cache mnemonic. UCB CS61C : Machine Structures Lecturer SOE Dan Garcia inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 31 Caches II 2008-04-12 HP has begun testing research prototypes of a novel non-volatile memory element, the

More information

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao

Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Optimality of Tomasulo s Algorithm Luna, Dong Gang, Zhao Feb 28th, 2002 Our Questions about Tomasulo Questions about Tomasulo s Algorithm Is it optimal (can always produce the wisest instruction execution

More information

CACHE LINE AWARE OPTIMIZATIONS FOR CCNUMA SYSTEMS

CACHE LINE AWARE OPTIMIZATIONS FOR CCNUMA SYSTEMS CACHE LINE AWARE OPTIMIZATIONS FOR CCNUMA SYSTEMS 24th ACM International Symposium on High-Performance Parallel and Distributed Computing HPDC 15, Portland, 2015 Sabela Ramos (sramos@udc.es) GAC, Universidade

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

Green Server Design: Beyond Operational Energy to Sustainability

Green Server Design: Beyond Operational Energy to Sustainability Green Server Design: Beyond Operational Energy to Sustainability Justin Meza Carnegie Mellon University Jichuan Chang, Partha Ranganathan, Cullen Bash, Amip Shah Hewlett-Packard Laboratories 1 Overview

More information

Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization

Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization Presented by Ruisheng Diao, Ph.D., P.E. Senior Research Engineer Electricity Infrastructure Pacific

More information

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK

PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK PPEP: ONLINE PERFORMANCE, POWER, AND ENERGY PREDICTION FRAMEWORK BO SU JUNLI GU LI SHEN WEI HUANG JOSEPH L. GREATHOUSE ZHIYING WANG NUDT AMD RESEARCH DECEMBER 17, 2014 BACKGROUND Dynamic Voltage and Frequency

More information

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped

Lecture 31 Caches II TIO Dan s great cache mnemonic. Issues with Direct-Mapped CS61C L31 Caches II (1) inst.eecs.berkeley.edu/~cs61c UC Berkeley CS61C : Machine Structures Lecture 31 Caches II 26-11-13 Lecturer SOE Dan Garcia www.cs.berkeley.edu/~ddgarcia GPUs >> CPUs? Many are using

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

Enhancing Energy Efficiency of Database Applications Using SSDs

Enhancing Energy Efficiency of Database Applications Using SSDs Seminar Energy-Efficient Databases 29.06.2011 Enhancing Energy Efficiency of Database Applications Using SSDs Felix Martin Schuhknecht Motivation vs. Energy-Efficiency Seminar 29.06.2011 Felix Martin Schuhknecht

More information

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures

PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures Michael A. Laurenzano, Yunqi Zhang, Jiang Chen, Lingjia Tang and Jason Mars Department of Electrical Engineering

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

MAS601 Design, Modeling & Simulation

MAS601 Design, Modeling & Simulation MAS601 Design, Modelling and Simulation of Mechatronic Systems, Semester 2, 2017. Page: 1 MAS601 Design, Modeling & Simulation Hardware-In-the-Loop Simulation Bond Graph 20-Sim Siemens PLC ET200S G. Hovland

More information

FLEXIBILITY FOR THE HIGH-END DATA CENTER. Copyright 2013 EMC Corporation. All rights reserved.

FLEXIBILITY FOR THE HIGH-END DATA CENTER. Copyright 2013 EMC Corporation. All rights reserved. FLEXIBILITY FOR THE HIGH-END DATA CENTER 1 The World s Most Trusted Storage Platform More Than 20 Years Running the World s Most Critical Applications 1988 1990 1994 2000 2003 2005 2009 2011 2012 New Symmetrix

More information

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1

Advanced Topics. Packaging Power Distribution I/O. ECE 261 James Morizio 1 Advanced Topics Packaging Power Distribution I/O ECE 261 James Morizio 1 Package functions Packages Electrical connection of signals and power from chip to board Little delay or distortion Mechanical connection

More information

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Feng Guo, PhD NEC Laboratories America, Inc. Cupertino, CA 5/13/2015 Outline Introduction Proposed MMC for Hybrid

More information

Aging of the light vehicle fleet May 2011

Aging of the light vehicle fleet May 2011 Aging of the light vehicle fleet May 211 1 The Scope At an average age of 12.7 years in 21, New Zealand has one of the oldest light vehicle fleets in the developed world. This report looks at some of the

More information

BASIC MECHATRONICS ENGINEERING

BASIC MECHATRONICS ENGINEERING MBEYA UNIVERSITY OF SCIENCE AND TECHNOLOGY Lecture Summary on BASIC MECHATRONICS ENGINEERING NTA - 4 Mechatronics Engineering 2016 Page 1 INTRODUCTION TO MECHATRONICS Mechatronics is the field of study

More information

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Building Fast and Accurate Powertrain Models for System and Control Development

Building Fast and Accurate Powertrain Models for System and Control Development Building Fast and Accurate Powertrain Models for System and Control Development Prasanna Deshpande 2015 The MathWorks, Inc. 1 Challenges for the Powertrain Engineering Teams How to design and test vehicle

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

EE Architecture for Highly Electrified Powertrain

EE Architecture for Highly Electrified Powertrain EE Architecture for Highly Electrified Powertrain 2020-2030 M. Gleich, Senior Manager Marketing and Business Development Powertrain - restricted - Context Resources, Pollution, Climate Urbanization Moore

More information

mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut

mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut mith College Computer Science CSC231 Assembly Fall 2017 Week #4 Dominique Thiébaut dthiebaut@smith.edu How are Integers Stored in Memory? 120 11F 11E 11D 11C 11B 11A 119 118 117 116 115 114 113 112 111

More information

Scheduling. Purpose of scheduling. Scheduling. Scheduling. Concurrent & Distributed Systems Purpose of scheduling.

Scheduling. Purpose of scheduling. Scheduling. Scheduling. Concurrent & Distributed Systems Purpose of scheduling. 427 Concurrent & Distributed Systems 2017 6 Uwe R. Zimmer - The Australian National University 429 Motivation and definition of terms Purpose of scheduling 2017 Uwe R. Zimmer, The Australian National University

More information

Using Advanced Limit Line Features

Using Advanced Limit Line Features Application Note Using Advanced Limit Line Features MS2717B, MS2718B, MS2719B, MS2723B, MS2724B, MS2034A, MS2036A, and MT8222A Economy Microwave Spectrum Analyzer, Spectrum Master, and BTS Master The limit

More information

Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters

Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters Implication of Smart-Grids Development for Communication Systems in Normal Operation and During Disasters Alexis Kwasinski The University of Texas at Austin 1 Alexis Kwasinski, 2010 Overview» Introduction»

More information

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni Energy Source Lifetime Optimization for a Digital System through Power Management by Manish Kulkarni A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation

Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Finite Element Based, FPGA-Implemented Electric Machine Model for Hardware-in-the-Loop (HIL) Simulation Leveraging Simulation for Hybrid and Electric Powertrain Design in the Automotive, Presentation Agenda

More information

The seal of the century web tension control

The seal of the century web tension control TENSIONING GEARING CAMMING Three techniques that can improve your automated packaging equipment performance What are 3 core motion techniques that can improve performance? Web Tension Control Proportional

More information

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements Kashif Nizam Khan Zhonghong Ou, Mikael Hirki, Jukka K. Nurminen, Tapio Niemi 1 Motivation The Large Hadron

More information

Unmanned autonomous vehicles in air land and sea

Unmanned autonomous vehicles in air land and sea based on Ulrich Schwesinger lecture on MOTION PLANNING FOR AUTOMATED CARS Unmanned autonomous vehicles in air land and sea Some relevant examples from the DARPA Urban Challenge Matteo Matteucci matteo.matteucci@polimi.it

More information

Design Space Exploration for Complex Automotive Applications: An Engine Control System Case Study

Design Space Exploration for Complex Automotive Applications: An Engine Control System Case Study Design Space Exploration for Complex Automotive Applications: An Engine Control System Case Study Khalid Latif 1 Manuel Selva 1 Charles Effiong 1 Roman Ursu 1 Abdoulaye Gamatie 1 Gilles Sassatelli 1 Leonardo

More information

ISC$High$Performance$Conference,$Frankfurt,$Germany$$$

ISC$High$Performance$Conference,$Frankfurt,$Germany$$$ Supercompu)ng,Centers,and,Electricity,Service,Providers:,, A,Geographically,Distributed,Perspec)ve,on,Demand, Management,in,Europe,and,the,United,States,, ISC$High$Performance$Conference,$Frankfurt,$Germany$$$

More information

Model Based Design: Balancing Embedded Controls Development and System Simulation

Model Based Design: Balancing Embedded Controls Development and System Simulation All-Day Hybrid Power On the Job Model Based Design: Balancing Embedded Controls Development and System Simulation Presented by : Bill Mammen 1 Topics Odyne The Project System Model Summary 2 About Odyne

More information