Helping Moore s Law: Architectural Techniques to Address Parameter Variation

Size: px
Start display at page:

Download "Helping Moore s Law: Architectural Techniques to Address Parameter Variation"

Transcription

1 Helping Moore s Law: Architectural Techniques to Address Parameter Variation Computer Science Department University of Illinois at Urbana-Champaign

2 Technology scaling continues Quad Opteron Core 2 Duo Pentium 4 Pentium 3 number of transistors 2 transistor size

3 Challenges to scaling Manufacturing process Environmental Sub-wavelength lithography Temperature variation 45nm 192nm light!"#$ % & '( (07$ BC@A Dopant density fluctuations Supply voltage fluctuations *+,,-.%/0-123$%&4) 4#256%7$-"28"-"1.%9%,0:$7 4#";6%<7$=+$;(.!"#$%&µ'$() 3

4 Variation in transistor parameters pdf Frequency Reliability Power nominal switching speed leakage power AMD Quad-core Opteron Intel 80-core Polaris 4

5 Process variation effects HOF 130nm 5*#$"6)7%-'8#%92%+0: HOD HOG HOH HOQ ABC One generation of process technology is lost to process variation. DBE QOM Q J HQ HJ GQ Shekhar Borkar et al, Intel, DAC

6 Variation components die-to-die fast, leaky transistors within-die C1 C2 C3 C4 slower, less leaky transistors 6

7 Addressing parameter variation Variation reduction Variation tolerance computing stack Runtime system dynamic fine-grain body biasing variation-aware application scheduling and power management C1 C2 C3 C4 C5 variation tolerance Microarchitecture C1 C2 L2 Cache C6 C7 C8 C9 C10 variation reduction C11 C12 C13 C14 C15 Circuits C3 C4 L2 Cache C16 C17 C18 C19 C20 reduce power of high power cells speed up slow cells 7

8 Outline Two solutions: Runtime system variation tolerance Microarchitecture variation reduction Circuits Dynamic fine-grain body biasing [MICRO 07] Variation aware scheduling and power management [ISCA 08] Evaluation Future work 8

9 Outline Two solutions: Runtime system variation tolerance Microarchitecture variation reduction Circuits Dynamic fine-grain body biasing Variation aware scheduling and power management Evaluation Future work 9

10 Body biasing A voltage is applied between source/drain and substrate of a group of transistors Forward body bias (FBB) Reverse body bias (RBB) Frequency Frequency Leakage Leakage Key knob to trade off frequency for leakage power Frequency BB Leakage power Frequency DVFS Dynamic power 10

11 Static fine-grain body biasing (S-FGBB) [Tschanz et al, Intel] RBB reduces static power of leaky cells C1 C2 C3 C4 FBB speeds up slow cells The result is reduced WID variation Frequency FGBB Leakage power improved processor frequency, lower power Additional control over a chip s frequency and power 11

12 Static fine-grain body biasing BB values fixed for the lifetime of the chip Bin 1 Frequency Fmax Bin 2 Bin 3 S-FGBB has to be conservative High power Worst case conditions (temperature, power) are assumed Bin 4 Leakage Leakage power limit 12

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µ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µ)!#(1!)&#(1#$1!10.%#&%'(! =")!'2!&,0!1%0!2$0G60(+/!1%)&$%96&%'(!&'!90+':0!):#440$7!8,%)!%)! 80)&+,%3!:0#)6$0:0(&)!%(!I%-6$0!^!),'*!&,#&!#)!&,0!(6:90$!'2! +$%&%+#4! 3#&,)! '(! #! 1%0! %(+$0#)0)5! *%&,%(H1%0! 104#/!.#$%#&%'()! 3#&,)! #(1! 10+$0#)0! %(! &,0! 4'-%+! 103&,7! 8,0! %:3#+&! 3$'+0))!.#$%#&%'(!,#)!'(!&,0)0!+,'%+0)!#$0!10)+$%901!(0F&7! 3#&,)7!V0)%-()!&,#&!$0G6%$0!10030$!3%304%(%(-5!&'!)633'$&!,%-,0$! 2$0G60(+/!'2!'30$#&%'(5!$0G6%$0!%(+$0#)0!%(!&,0!(6:90$!'2!+$%&%+#4! E%+$'#$+,%&0+&6$0! 10)%-()! &,#&! 10:#(1! %(+$0#)01! 3#$#4404%):! #(1]'$! 26(+&%'(#4%&/! $0G6%$0! %(+$0#)0! %(! &,0! (6:90$! '2! +$%&%+#4! 30-%/.#N5#G0/B%0+#<.20-1#+/,<.*@@2# 8,0!(6:90$!'2!+$%&%+#4!3#&,)!&,#&!10&0$:%(0!&,0!&#$-0&!2$0G60(+/! '# $,0:$7 1273$1%<7$=+$;(.%,70828"-"1. %)! 3'))%940! &'! &$#10! '22! 40#;#-0! #(1! )*%&+,%(-! 3'*0$! +':3'(0(&)5!*,%40!:#%(&#%(%(-!&,0!)#:0!&'&#4!3'*0$7! 96&!*%&,!#!30(#4&/!%(!40#;#-0!3'*0$7!U&!*#)!),'*(!%(!W@5XY5!&,#&! 9/!+#$02644/!0:34'/%(-!4'*H" &!10.%+0)5!DMA!104#/!%:3$'.0:0(&! 2$0G60(+/! #&! &,0! 0F30()0! '2! )*%&+,%(-! 3'*0$7! 8,0! $%-,&! +,#$&! ),'*)!&,#&!%(+$0#)%(-!4'*H" &!6)#-0!#4)'!9'')&)!&,0!3$'9#9%4%&/5!.%0*! '2! &,%)! &$#10'227! L(! &,0! 402&! )%105! 4#$-0$! &$#()%)&'$! )%\0)! %(+$0#)0! &,0! $04#&%.0! 3$'9#9%4%&/! '2! #+,%0.%(-! &,0! &#$-0&! 30$2'$:#(+0!+$%&%+#4!3#&,)!#$0!0%&,0$!63)%\01!'$!#$0!:#10!4'*H"&! &'!3$'.%10!&,0!&#$-0&!+,%3!30$2'$:#(+07!I%-6$0!X!%)!#!+'(+03&6#4! #+&%.0!'30$#&%'(5!96$(H%(!#(1!)&#(19/7!8*'!" &Z)!#$0!3$'.%101!9/! &,0!3$'+0))!&0+,('4'-/!2'$!0#+,!&$#()%)&'$7![%-,H" &!&$#()%)&'$)!%(! :%+$'#$+,%&0+&6$0!10)%-(!+,'%+0)7!! V6#4H"&!+%$+6%&!10)%-()!W@5XY!+#(!$016+0!40#;#-0!3'*0$!16$%(-! 8,0!J5!"5!8!.#$%#&%'()!%:3#+&!#44!40.04)!'2!10)%-(7!U(!&,%)!)0+&%'(! *0!*%44!,%-,4%-,&!)':0!'2!&,0!%:3#+&!3$'+0))!,#)!'(!+%$+6%&!#(1! 4"# CDEFG7#HI#GCJGKC7$#FI># DCGJHFJGLC7MG7KJM# 30-%/.#=5#>0.?+*?<0.#@/.A%.1B(#6,/0,+0*1# 3#$&)! (001! &'! 90! 1%)+#$101! *,%+,! %(! &6$(! #220+&)! &,0! /%041! #(1! Dynamic fine-grain body biasing (D-FGBB) Temp Significant temperature variation: Space: across different cores (07$ BC@A (07$ BC@A Time: as the activity factor of the workload changes BC@A (07$ (07$ BC@A Circuit delay increases with temperature:! T! fast 339,#)!)0$%'6)!+')&!%:34%+#&%'()!#))'+%#&01!*%&,!%&S!T'*!30$2'$:%(-! (07$ BC@A BC@A (07$ +'()%)&0(&!*%&,!)&#&%)&%+#4!)%:64#&%'(!$0)64&)!W?Y!%(1%+#&%(-!&,#&!,0:$ (1%(-! '(! &,0! 10)%-(! +,'%+07! :%+$'#$+,%&0+&6$0! '# $ -$'' #07$!72;'"'107%'"I$ L0:M41%+'23$ 30-%/.#N5#G0/B%0+#<.20-1#+/,<.*@@2# 8,0!(6:90$!'2!+$%&%+#4!3#&,)!&,#&!10&0$:%(0!&,0!&#$-0&!2$0G60(+/!!72;'"'107%'"I$ L0:M41%+'23$ -$'' #07$.#$/! 1030(1%(-! '(! :%+$'#$+,%&0+&6$0! 10)%-(! +,'%+07! E%+$'#$+,%&0+&6$0! 10)%-()! &,#&! 10:#(1! %(+$0#)01! 3#$#4404%):! #(1]'$! 26(+&%'(#4%&/! $0G6%$0! %(+$0#)0! %(! &,0! (6:90$! +$%&%+#4! 3#&,)7!V0)%-()!&,#&!$0G6%$0!10030$!3%304%(%(-5!&'!)633'$&!,%-,0$! B 2$0G60(+/!'2!'30$#&%'(5!$0G6%$0!%(+$0#)0!%(!&,0!(6:90$!'2!+$%&%+#4! 3#&,)! #(1! 10+$0#)0! %(! &,0! 4'-%+! 103&,7! 8,0! %:3#+&! 3$'+0))!.#$%#&%'(!,#)!'(!&,0)0!+,'%+0)!#$0!10)+$%901!(0F&7! C B!!!"!# $%&&'(#)*'+,-.#),/0,+0*12#!"#$%&µ'$() 30-%/.#=5#>0.?+*?<0.#@/.A%.1B(#6,/0,+0*1#! 3#$&)! (001! &'! 90! 1%)+#$101! *,%+,! %(! &6$(! #220+&)! &,0! /%041! #(1! 30-%/.#45#$%&&'(#6*'+,-.#6,/0,+0*1#,0(+0!&,0!+')&7! "#$%#&%'()! %(! )*%&+,%(-! #+&%.%&/! #+$'))! &,0! 1%0! #(1! 1%.0$)%&/!'2! &,0!&/30!'2!4'-%+5!$0)64&!%(!6(0.0(!3'*0$!1%))%3#&%'(!#+$'))!&,0! 4"# CDEFG7#HI#GCJGKC7$#FI># 1%07!8,%)!.#$%#&%'(!$0)64&)!%(!6(0.0(!)6334/!.'4&#-0!1%)&$%96&%'(! DCGJHFJGLC7MG7KJM# #(1! &0:30$#&6$0!,'&! )3'&)5! #+$'))! #! 1%05! +#6)%(-! &$#()%)&'$! )69&,$0),'41!40#;#-0!.#$%#&%'(!#+$'))!&,0!1%07! 8,0!J5!"5!8!.#$%#&%'()!%:3#+&!#44!40.04)!'2!10)%-(7!U(!&,%)!)0+&%'(! *0!*%44!,%-,4%-,&!)':0!'2!&,0!%:3#+&!3$'+0))!,#)!'(!+%$+6%&!#(1! <6334/!.'4&#-0! ="++>! *%44! +'(&%(60! &'! )+#40!:'10)&4/!9/!?@A5! :%+$'#$+,%&0+&6$0!10)%-(!+,'%+0)7!! ('&!9/!&,0!,%)&'$%+!BCA!30$!-0(0$#&%'(5!160!&'!=?>!1%22%+64&%0)!%(! )+#4%(-! &,$0),'41!.'4&#-0! =" &>5! #(1! =D>! &'! :00&! &,0! &$#()%)&'$! V6#4H"&!+%$+6%&!10)%-()!W@5XY!+#(!$016+0!40#;#-0!3'*0$!16$%(-! 30$2'$:#(+0! -'#4)7! E#F%:6:! "++! %)! )30+%2%01! #)! #! $04%#9%4%&/! #+&%.0!'30$#&%'(5!96$(H%(!#(1!)&#(19/7!8*'!" &Z)!#$0!3$'.%101!9/! 4%:%&!2'$!#!3$'+0))!#(1!:%(%:6:!"++!%)!$0G6%$01!2'$!&,0!&#$-0&! &,0!3$'+0))!&0+,('4'-/!2'$!0#+,!&$#()%)&'$7![%-,H" &!&$#()%)&'$)!%(! 30$2'$:#(+07! "++!.#$%#&%'(! %()%10! &,0! :#FH:%(! *%(1'*! %)! 30$2'$:#(+0!+$%&%+#4!3#&,)!#$0!0%&,0$!63)%\01!'$!#$0!:#10!4'*H"&! ),'*(! %(! I%-6$0! B7! 8,%)! 2%-6$0! ),'*)! #! 1$''3! %(! "++5! *,%+,! &'!3$'.%10!&,0!&#$-0&!+,%3!30$2'$:#(+07!I%-6$0!X!%)!#!+'(+03&6#4! 10-$#10)!&,0!30$2'$:#(+07!J#+;#-%(-!#(1!34#&2'$:!&0+,('4'-%0)!.%0*! '2! &,%)! &$#10'227! L(! &,0! 402&! )%105! 4#$-0$! &$#()%)&'$! )%\0)! slow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µ)!#(1!)&#(1#$1!10.%#&%'(! =")!'2!&,0!1%0!2$0G60(+/!1%)&$%96&%'(!&'!90+':0!):#440$7!8,%)!%)! +'()%)&0(&!*%&,!)&#&%)&%+#4!)%:64#&%'(!$0)64&)!W?Y!%(1%+#&%(-!&,#&! B!! )&+,%3!:0#)6$0:0(&)!%(!I%-6$0!^!),'*!&,#&!#)!&,0!(6:90$!'2! C +$%&%+#4! 3#&,)! '(! #! 1%0! %(+$0#)0)5! *%&,%(H1%0! 104#/!.#$%#&%'()! #:'(-!+$%&%+#4!3#&,)!+#6)0!9'&,!:0#(!=µ)!#(1!)&#(1#$1!10.%#&%'(! =")!'2!&,0!1%0!2$0G60(+/!1%)&$%96&%'(!&'!90+':0!):#440$7!8,%)!%)! +'()%)&0(&!*%&,!)&#&%)&%+#4!)%:64#&%'(!$0)64&)!W?Y!%(1%+#&%(-!&,#&!!!"#$%&µ'$() 4#";6%<7$=+$;(. 4#256%7$-"28"-"1.%9%,0:$7!"!# D@ B@@ BD@ BEF? G7$=+$;(.%&H07#2-"I$J) BE@? BEBD BECC BEF@ K%0<%A>",'! BE@@ 13 "#$%#&%'()! %(! )*%&+,%(-! #+&%.%&/! #+$'))! &,0! 1%0! #(1! 1%.0$)%&/!'2!,0(+0!&,0!+')&7! 30-%/.#45#$%&&'(#6*'+,-.#6,/0,+0*1# (001! 90! *,%+,! &6$(! &,0! /%041! &'! #(1! 1%)+#$101! %(! #220+&)! 3#$&)!!!"#$%&µ'$() 30-%/.#=5#>0.?+*?<0.#@/.A%.1B(#6,/0,+0*1#! G7$=+$;(.%&H07#2-"I$J) BECC BEF@ BEF? BEBD BE@? BE@@ D@!"!# $%&&'(#)*'+,-.#),/0,+0*12#

14 Dynamic fine-grain body biasing max T Target: Fmax (07$ RBB S-FGBB BB - fixed BC@A BC@A (07$ slow FBB Higher power consumption average T Target: Fmax (07$ RBB D-FGBB BC@A BC@A fast Lower power consumption BB - variable (07$ FBB 14

15 Dynamic fine-grain body biasing max T Target: Fmax (07$ RBB S-FGBB BB - fixed BC@A BC@A (07$ slow FBB Higher power consumption The goal of D-FGBB is to keep the body bias optimal as temperature changes average T Target: Fmax (07$ RBB D-FGBB BC@A BC@A fast Lower power consumption BB - variable (07$ FBB 14

16 Finding the optimal BB Dynamically measure the delay of each BB cell Delay sampling circuit: CLK FBB Critical Path Replica Phase Detector RBB delay sampling circuit BB for each cell is adjusted as temperature changes Until optimal delay is reached 15

17 D-FGBB environments environment goal Standard Improve frequency and power High performance Maximize frequency Low power Minimize leakage power 16

18 Standard environment Average conditions (Tavg) S-FGBB finds and sets Fmax D-FGBB at Tavg Frequency Fmax S-FGBB at Tavg D-FGBB saves leakage power compared to S-FGBB at Fmax Forig Original chip Power limit Leakage 17

19 D-FGBB Summary S-FGBB64 D-FGBB is very effective at reducing WID variation: NoBB NoBB S-FGBB144 S-FGBB64 S-FGBB64 D-FGBB S-FGBB144 D-FGBB D-FGBB frequency frequency frequency leakage (b) leakage leakage leakage (b) leakage leakage leakage (c) leakage leakag (d) (d) NoBB S-FGBB D-FGBB Figure Frequency versus versus leakage power power for for a batch a batch of 200 of 200 chips chips at usual at usual T and T a s are normalized D-FGBB144. to NoBB. In In the the figure, figure, the Constant the bars bars arefrequency normalized to to NoBB. NoBB. On execution time On average, by40% 6% over lower D-FGBB144 leakage reduces the the execution time time by by 6% 6% over over First, we look at the case when the frequency of First, First, thewe chip we look does look at the at the case case wh NoBB and S-FGBB144. (not Moreover, compared to to NoBB NoBBandand S-FGBB1 (not (not not change. The result is shown in Figure 16. In not not Figure change. 16(a), The The we result result is shown is 0%. shown shown in the in the figure), the the reduction is 10%. is 10%. 10% higher repeat frequency the frequency-leakage scatter plot of Figure repeat repeat 10(a), the the this frequency-leakage time sc at usual T and load conditions. As a result, the at leakage at usual usual T power and T and load is load conditions. NoBB NoBB S-FGBB144 D-FGBB144 significantly lower than in the worst case presented significantly in Figurelower 10(a). lower than than in the in the w Then, Figures 16(b)-(e) show the result of applying Then, Then, S-FGBB Figures or D- 16(b)-(e) show show th FGBB with 0.9 FGBB with different numbers of cells, to reduce leakage FGBBat with constant different numbers of Time Time leakage power (a) (c) leakage power leakage leakage ncy versus leakage power for a batch of 200 chips at usual T and load conditions. 4 D-FGBB144 (b) (d) leakage power (c) (e) Constant Frequency

20 Outline Two solutions: Runtime system variation tolerance Microarchitecture variation reduction Circuits Dynamic fine-grain body biasing Variation aware scheduling and power management [ISCA 08] Evaluation Future work 19

21 Motivation Large CMPs will have significant core-to-core variation We model a 20-core CMP, 32nm C1 C2 C3 C4 C5 L2 Cache fastest C2 Frequency Leakage power Total power C6 C7 C8 C9 C10 vs. 30% 2X 40% C11 C12 C13 C14 C15 slowest C20 L2 Cache C16 C17 C18 C19 C20 Design-identical cores will have significantly different properties 20

22 How can we exploit this variation? Current CMPs run at the frequency of the slowest core We can run each core at the maximum frequency it can achieve C1 C2 C3 C4 C5 L2 Cache 15% average frequency increase Heterogeneous system Variation-aware scheduling C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 L2 Cache C16 C17 C18 C19 C20 Variation-aware power management 21

23 Variation-aware scheduling Applications Variation in core frequency and power Application behavior dynamic power consumption instructions per cycle (IPC) C1 C2 C3 C4 C5 L2 Cache System goals: reduce power improve performance C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 L2 Cache C16 C17 C18 C19 C20 22

24 Variation-aware scheduling Variation-aware scheduling algorithms: Reduce power: Assign applications with high dynamic power to low power cores (VarPower) High IPC C1 C2 C3 C4 C5 L2 Cache Improve performance: Assign high IPC applications to high frequency cores (VarPerf) Low IPC C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 L2 Cache C16 C17 C18 C19 C20 23

25 Variation-aware power management Dynamic voltage and frequency scaling (DVFS) Core-level control over voltage and frequency The challenge: Find optimal (V,F) for each core Variation makes the problem more difficult V,F C1 V,F C2 V,F C3 V,F C4 V,F C5 L2 Cache V,F C6 V,F C7 V,F C8 V,F C9 V,F C10 V,F C11 V,F C12 V,F C13 V,F C14 V,F C15 L2 Cache V,F C16 V,F C17 V,F C18 V,F C19 V,F C20 24

26 DVFS under variation Total power Vdd=0.6-1V 0.6V 0.6V 0.85V 0.7V 1V 0.8V Vdd=1V 0.9V Frequency 25

27 V Optimization problem Given a mapping of threads to cores (VarPerf): C1 C2 C3 C4 C5 best (Vi,Fi) of each core V,F V,F V,F V,F V,F L2 Cache C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 FIND! V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F L2 Cache C16 C17 C18 C19 C20 V,F V,F V,F V,F V,F Goal: maximize system throughput (MIPS) Constraint: keep total power below budget 50W 75W 100W 26

28 V Optimization problem Given a mapping of threads to cores (VarPerf): C1 C2 C3 C4 C5 best (Vi,Fi) of each core V,F V,F V,F V,F V,F L2 Cache C6 C7 C8 C9 C10 C11 C12 C13 C14 C15? FIND! V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F L2 Cache C16 C17 C18 C19 C20 V,F V,F V,F V,F V,F Goal: maximize system throughput (MIPS) Constraint: keep total power below budget 50W 75W 100W 26

29 Possible solutions LinOpt FIND? Exhaustive search: too expensive Simulated annealing (SAnn) not practical at runtime Linear programming (LinOpt) simpler, faster requires some approximations 27

30 LinOpt problem definition Linear programming: Maximize objective function: f(x1,...,xn), with x1,...,xn independent Subject to constraints such as: g(x1,...,xn) < C f,g are linear functions Variables: voltages V1,...,Vn for all cores Objective function: maximize throughput Throughput (MIPS) = Frequency X IPC = f(v1,...,vn) Constraint: keep power under Ptarget Power = g(v) 28

31 LinOpt implementation LinOpt works together with the OS scheduler OS scheduler maps applications to cores (e.g. VarPerf) LinOpt then finds (V,F) settings for each core LinOpt runs periodically as a system process C1 C2 C3 C4 C5 on a spare core Power management unit (PMU) on-chip microcontroller (Foxton) LinOpt uses profile information as input L2 Cache C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 PMU L2 Cache C16 C17 C18 C19 C20 29

32 LinOpt implementation Post-manufacturing profiling Each core: frequency, static power Dynamic profiling Each app: dynamic power, IPC Power target LinOpt Goal best (Vi,Fi) of each core V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F V,F LinOpt 10ms OS scheduling interval Time 30

33 Outline Two solutions: Runtime system variation tolerance Microarchitecture variation reduction Circuits Dynamic fine-grain body biasing Variation aware scheduling and power management Evaluation Future work 31

34 Evaluation infrastructure Process variation model - VARIUS [IEEE TSM 08] Monte Carlo simulations for 200 chips SESC - cycle accurate microarchitectural simulator HotLeakage, SPICE model - leakage power Hotspot - temperature estimation Mix of SPECint and SPECfp benchmarks 32

35 Dynamic fine-grain body biasing C1 C3 C2 C4 4-core CMP 45nm technology, 4GHz We evaluate FGBB at different granularities (1-144 cells) FGBB16 FGBB64 FGBB144 33

36 D-FGBB Standard 1.15 Frequency D-FGBB144 D-FGBB64 D-FGBB16 D-FGBB1 Leakage reduction 42% 28% S-FGBB144 S-FGBB64 S-FGBB16 S-FGBB1 NoBB More BB cells result in higher frequency and lower leakage Leakage 34

37 Other environments D-FGBB High Performance: 7-10% frequency increase compared to S-FGBB D-FGBB Low Power % leakage reduction compared to S-FGBB 35

38 Variation-aware scheduling and power management C1 C2 C3 C4 C5 L2 Cache 20-core CMP 32nm technology, 4GHz C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 L2 Cache C16 C17 C18 C19 C20 Multiprogrammed workload: 1-20 applications from a pool of SPECint and SPECfp benchmarks 36

39 Power management schemes Goal: - maximize throughput Constraint: - keep power below budget (75W) Foxton+: baseline VarPerf+LinOpt: proposed scheme VarPerf+SAnn: approximate upper bound 37

40 Throughput improvements % 17% 16% 12% MIPS Threads 8 Threads 16 Threads 20 Threads Foxton+ VarPerf+LinOpt VarPerf+SAnn VarPerf+LinOpt: 12-17% over Foxton+ LinOpt: within 2% of SAnn 38

41 To sum up... How much of the performance/power have we recovered? dynamic fine-grain body biasing variation-aware scheduling and power management Frequency Leakage Power 0.9 Throughput No Variation WID Variation D-FGBB Standard D-FGBB HiPerf 0.5 No Variation WID Variation D-FGBB Standard D-FGBB LowPower 0.5 No Variation WID Variation VarPerf+LinOpt 39

42 To sum up... How much of the performance/power have we recovered? dynamic fine-grain body biasing variation-aware scheduling and power management Frequency Both techniques recover most of the losses caused by process variation Leakage Power 0.9 Throughput No Variation WID Variation D-FGBB Standard D-FGBB HiPerf 0.5 No Variation WID Variation D-FGBB Standard D-FGBB LowPower 0.5 No Variation WID Variation VarPerf+LinOpt 39

43 Outline Two solutions: Dynamic fine-grain body biasing Variation aware scheduling and power management Evaluation Intel 80-core Polaris Future work 40

44 Future work Semiconductor roadmaps predict: 11nm billion transistor chips Hundreds of cores on a die Reliability problems will get worse some cores will fail immediately others over time 41

45 Future work Integrated approach to system reliability application hardening Software migration, adaptation Compiler detection, correction environment sensing Operating system Microarchitecture Circuits timing errors 42

46 Future work Integrated approach to system reliability application hardening Software migration, adaptation detection, correction Integrated solutions - key to tackling the Compiler daunting reliability challenges of future systems. Operating system Microarchitecture environment sensing Circuits timing errors 42

47 Other work Hardware support for on-line software debugging Prototype of a processor with fast, software controlled checkpointing and rollback, in FPGA [FCCM 05][WCED 05] [BUGS 05][Micro Magazine 06] Hardware implementation of a data race detection algorithm [HPCA 07] Log-based architectures for lightweight monitoring of production code [ASID 06] 43

48 Helping Moore s Law: Architectural Techniques to Address Parameter Variation Computer Science Department University of Illinois at Urbana-Champaign

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs

UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs UTBB FD-SOI: The Technology for Extreme Power Efficient SOCs Philippe Flatresse Technology R&D Bulk transistor is reaching its limits FD-SOI = 2D Limited body bias capability Gate gate Gate oxide stack

More information

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints

Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Test Infrastructure Design for Core-Based System-on-Chip Under Cycle-Accurate Thermal Constraints Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty and Hideo Fujiwara Nara Institute of Science

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Preetika Kulshrestha, Student Member, IEEE, Lei Wang, Student Member, IEEE, Mo-Yuen Chow,

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan

Power distribution techniques for dual-vdd circuits. Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Power distribution techniques for dual-vdd circuits Sarvesh H Kulkarni and Dennis Sylvester EECS Department, University of Michigan Outline Motivation for multiple supply design Implications of using multiple

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Jet Dispensing Underfills for Stacked Die Applications

Jet Dispensing Underfills for Stacked Die Applications Jet Dispensing Underfills for Stacked Die Applications Steven J. Adamson Semiconductor Packaging and Assembly Product Manager Asymtek Sadamson@asymtek.com Abstract It is not uncommon to see three to five

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

EXAMPLES OF PRODUCT ENGINEERING WITH OPTISLANG AT DIESEL SYSTEMS

EXAMPLES OF PRODUCT ENGINEERING WITH OPTISLANG AT DIESEL SYSTEMS WEIMARER OPTIMIERUNGS- UND STOCHASTIKTAGE 2016 EXAMPLES OF PRODUCT ENGINEERING WITH OPTISLANG AT DIESEL SYSTEMS DR. RAINER KECK ROBERT BOSCH GMBH, DIESEL SYSTEMS Agenda Diesel by Bosch Motivation/ Product

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

Wind Turbine Emulation Experiment

Wind Turbine Emulation Experiment Wind Turbine Emulation Experiment Aim: Study of static and dynamic characteristics of wind turbine (WT) by emulating the wind turbine behavior by means of a separately-excited DC motor using LabVIEW and

More information

Embedded Torque Estimator for Diesel Engine Control Application

Embedded Torque Estimator for Diesel Engine Control Application 2004-xx-xxxx Embedded Torque Estimator for Diesel Engine Control Application Peter J. Maloney The MathWorks, Inc. Copyright 2004 SAE International ABSTRACT To improve vehicle driveability in diesel powertrain

More information

Fully Active vs. Reactive AWD coupling systems. How much performance is really needed? Thomas Linortner Manager, Systems Architecture

Fully Active vs. Reactive AWD coupling systems. How much performance is really needed? Thomas Linortner Manager, Systems Architecture Fully Active vs. Reactive AWD coupling systems How much performance is really needed? Thomas Linortner Manager, Systems Architecture Overview 1. Market requirements for AWD systems 2. Active and Reactive

More information

CHAPTER 3 PROBLEM DEFINITION

CHAPTER 3 PROBLEM DEFINITION 42 CHAPTER 3 PROBLEM DEFINITION 3.1 INTRODUCTION Assemblers are often left with many components that have been inspected and found to have different quality characteristic values. If done at all, matching

More information

Formation Flying Experiments on the Orion-Emerald Mission. Introduction

Formation Flying Experiments on the Orion-Emerald Mission. Introduction Formation Flying Experiments on the Orion-Emerald Mission Philip Ferguson Jonathan P. How Space Systems Lab Massachusetts Institute of Technology Present updated Orion mission operations Goals & timelines

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Coriolis Density Error Compensating for Ambient Temperature Effects

Coriolis Density Error Compensating for Ambient Temperature Effects Coriolis Density Error Compensating for Ambient Temperature Effects Presented by Gordon Lindsay Oil & Gas Focus Group December 2018 Contents Project aims and objectives Experiment Setup Phase 1 Exploratory

More information

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose Proceedings of the 22 Winter Simulation Conference E. Yücesan, C.-H. Chen, J. L. Snowdon, and J. M. Charnes, eds. SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING Oliver Rose

More information

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER Australasian Universities Power Engineering Conference (AUPEC 2004) 26-29 September 2004, Brisbane, Australia DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER M.F.M. Elias*, A.K. Arof**, K.M. Nor* *Department

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

Chapter 1: Battery management: State of charge

Chapter 1: Battery management: State of charge Chapter 1: Battery management: State of charge Since the mobility need of the people, portable energy is one of the most important development fields nowadays. There are many types of portable energy device

More information

Optimizing Battery Accuracy for EVs and HEVs

Optimizing Battery Accuracy for EVs and HEVs Optimizing Battery Accuracy for EVs and HEVs Introduction Automotive battery management system (BMS) technology has advanced considerably over the last decade. Today, several multi-cell balancing (MCB)

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry

Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry Advanced Technique for Si 1-x Ge x Characterization: Infrared Spectroscopic Ellipsometry Richard Sun Angstrom Sun Technologies Inc., Acton, MA Joint work with Darwin Enicks, I-Lih Teng, Janice Rubino ATMEL,

More information

Accelerating the Development of Expandable Liner Hanger Systems using Abaqus

Accelerating the Development of Expandable Liner Hanger Systems using Abaqus Accelerating the Development of Expandable Liner Hanger Systems using Abaqus Ganesh Nanaware, Tony Foster, Leo Gomez Baker Hughes Incorporated Abstract: Developing an expandable liner hanger system for

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers

Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers Daniel Wong dwong@ece.ucr.edu University of California, Riverside Department of Electrical and Computer Engineering 2 Main Observations

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 MOTIVATION OF THE RESEARCH Electrical Machinery is more than 100 years old. While new types of machines have emerged recently (for example stepper motor, switched reluctance

More information

Inventory Routing for Bike Sharing Systems

Inventory Routing for Bike Sharing Systems Inventory Routing for Bike Sharing Systems mobil.tum 2016 Transforming Urban Mobility Technische Universität München, June 6-7, 2016 Jan Brinkmann, Marlin W. Ulmer, Dirk C. Mattfeld Agenda Motivation Problem

More information

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage

Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Learn to Design with Stratix III FPGAs Programmable Power Technology and Selectable Core Voltage Vaughn Betz and Sanjay Rajput Copyright 2007 Altera Corporation Agenda The power challenge Stratix III power

More information

HIGH-RELIABILITY POUCH CELL CONNECTION AND COST ASPECTS OF A ROBUST BMS SOLUTION

HIGH-RELIABILITY POUCH CELL CONNECTION AND COST ASPECTS OF A ROBUST BMS SOLUTION HIGH-RELIABILITY POUCH CELL CONNECTION AND COST ASPECTS OF A ROBUST BMS SOLUTION 3rd Israeli Power Sources, Batteries, Fuel-Cells, Smart-Grid & EV Conference May 29 th / 30 th 2013, Herzelia Dr. Uwe Wiedemann

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries R1-6 SASIMI 2015 Proceedings A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries Naoki Kawarabayashi, Lei Lin, Ryu Ishizaki and Masahiro Fukui Graduate School of

More information

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted.

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Introduction Presenter Thomas Desbarats Business Development Simcenter System

More information

Design-Technology Co-Optimization for 5nm Node and Beyond

Design-Technology Co-Optimization for 5nm Node and Beyond Design-Technology Co-Optimization for 5 Node and Beyond Semicon West 26 Victor Moroz July 2, 26 Why Scaling? When What scales? When does it end? 965 999 2 Moore s Law (Fairchild): Double transistor density

More information

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1 Five Cool Things You Can Do With Powertrain Blockset Mike Sasena, PhD Automotive Product Manager 2017 The MathWorks, Inc. 1 FTP75 Simulation 2 Powertrain Blockset Value Proposition Perform fuel economy

More information

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation

A14-18 Active Balancing of Batteries - final demo. Lauri Sorsa & Joonas Sainio Final demo presentation A14-18 Active Balancing of Batteries - final demo Lauri Sorsa & Joonas Sainio Final demo presentation 06.12.2014 Active balancing project before in Aalto Respectable research was done before us. Unfortunately

More information

Experience Report: Applying and Introducing TSP to Electronic Design Automation

Experience Report: Applying and Introducing TSP to Electronic Design Automation Experience Report: Applying and Introducing TSP to Electronic Design Automation Elias Fallon, Engineering Director TSP Symposium 2012 St. Petersburg, FL September 20 th, 2012 Agenda Introduction: Electronic

More information

Initial Project and Group Identification Document. Metal detecting robotic vehicle (seek and find metallic objects using a robotic vehicle)

Initial Project and Group Identification Document. Metal detecting robotic vehicle (seek and find metallic objects using a robotic vehicle) Initial Project and Group Identification Document Project Idea: Metal detecting robotic vehicle (seek and find metallic objects using a robotic vehicle) Team Members: Robertson Augustine (Computer Engineer)

More information

Close-Open (Short-Circuit) Time Results Interpretation

Close-Open (Short-Circuit) Time Results Interpretation Application Note Close-Open (Short-Circuit) Time Results Interpretation Close-Open (C-O, trip-free) cycles simulate closing on a short circuit. In the actual event, the breaker closes first, then the protection

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Meeting product specifications

Meeting product specifications Optimisation of a diesel hydrotreating unit A model based on operating data is used to meet sulphur product specifications at lower DHT reactor temperatures with longer catalyst life Jose Bird Valero Energy

More information

Transmitted by the expert from the European Commission (EC) Informal Document No. GRRF (62nd GRRF, September 2007, agenda item 3(i))

Transmitted by the expert from the European Commission (EC) Informal Document No. GRRF (62nd GRRF, September 2007, agenda item 3(i)) Transmitted by the expert from the European Commission (EC) Informal Document No. GRRF-62-31 (62nd GRRF, 25-28 September 2007, agenda item 3(i)) Introduction of Brake Assist Systems to Regulation No. 13-H

More information

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design

Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Optimizing Performance and Fuel Economy of a Dual-Clutch Transmission Powertrain with Model-Based Design Vijayalayan R, Senior Team Lead, Control Design Application Engineering, MathWorks India Pvt Ltd

More information

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design Presented at the 2018 Transmission and Substation Design and Operation Symposium Revision presented at the

More information

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration

NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration National Aeronautics and Space Administration NASA Glenn Research Center Intelligent Power System Control Development for Deep Space Exploration Anne M. McNelis NASA Glenn Research Center Presentation

More information

Acceleration Behavior of Drivers in a Platoon

Acceleration Behavior of Drivers in a Platoon University of Iowa Iowa Research Online Driving Assessment Conference 2001 Driving Assessment Conference Aug 1th, :00 AM Acceleration Behavior of Drivers in a Platoon Ghulam H. Bham University of Illinois

More information

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements Kashif Nizam Khan Zhonghong Ou, Mikael Hirki, Jukka K. Nurminen, Tapio Niemi 1 Motivation The Large Hadron

More information

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni

Energy Source Lifetime Optimization for a Digital System through Power Management. Manish Kulkarni Energy Source Lifetime Optimization for a Digital System through Power Management by Manish Kulkarni A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer

Power Management Chip. Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer mmax Power Management Chip Anthony Kanago Valerie Barry Benjamin Sprague John Sandmeyer 1 Outline Design Goals and Challenges Power Management IC Design Maximum Power Point Tracking (MPPT) Implementation

More information

Using Trip Information for PHEV Fuel Consumption Minimization

Using Trip Information for PHEV Fuel Consumption Minimization Using Trip Information for PHEV Fuel Consumption Minimization 27 th International Battery, Hybrid and Fuel Cell Electric Vehicle Symposium (EVS27) Barcelona, Nov. 17-20, 2013 Dominik Karbowski, Vivien

More information

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture

A Predictive Delay Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture A Predictive Fault Avoidance Scheme for Coarse Grained Reconfigurable Architecture Toshihiro Kameda 1 Hiroaki Konoura 1 Dawood Alnajjar 1 Yukio Mitsuyama 2 Masanori Hashimoto 1 Takao Onoye 1 hasimoto@ist.osaka

More information

DOC design & sizing using GT-SUITE European GT Conference Gauthier QUENEY 09/10/2017

DOC design & sizing using GT-SUITE European GT Conference Gauthier QUENEY 09/10/2017 DOC design & sizing using GT-SUITE European GT Conference 2017 Gauthier QUENEY 09/10/2017 Background Simulation tool target Predict exhaust outlet emissions Thermal modeling Chemical modeling This presentation

More information

Thermal Characterization and Modeling: a key part of the total packaging solution. Dr. Roger Emigh STATS ChipPAC Tempe, AZ

Thermal Characterization and Modeling: a key part of the total packaging solution. Dr. Roger Emigh STATS ChipPAC Tempe, AZ Thermal Characterization and Modeling: a key part of the total packaging solution Dr. Roger Emigh STATS ChipPAC Tempe, AZ Outline: Introduction Semiconductor Package Thermal Behavior Heat Flow Path Stacked

More information

E-AMOM: AN ENERGY-AWARE MODELING AND OPTIMIZATION METHODOLOGY FOR SCIENTIFIC APPLICATIONS ON MULTICORE SYSTEMS

E-AMOM: AN ENERGY-AWARE MODELING AND OPTIMIZATION METHODOLOGY FOR SCIENTIFIC APPLICATIONS ON MULTICORE SYSTEMS E-AMOM: AN ENERGY-AWARE MODELING AND OPTIMIZATION METHODOLOGY FOR SCIENTIFIC APPLICATIONS ON MULTICORE SYSTEMS A Dissertation by CHARLES WESLEY LIVELY III Submitted to the Office of Graduate Studies of

More information

Rapid generation of thermal-safe test schedules

Rapid generation of thermal-safe test schedules Rapid generation of thermal-safe test schedules Paul Rosinger, Bashir Al-Hashimi University of Southampton School of Electronics and Computer Science Southampton, SO17 1BJ, UK {pmr,bmah}@ecs.soton.ac.uk

More information

Simulation of Collective Load Data for Integrated Design and Testing of Vehicle Transmissions. Andreas Schmidt, Audi AG, May 22, 2014

Simulation of Collective Load Data for Integrated Design and Testing of Vehicle Transmissions. Andreas Schmidt, Audi AG, May 22, 2014 Simulation of Collective Load Data for Integrated Design and Testing of Vehicle Transmissions Andreas Schmidt, Audi AG, May 22, 2014 Content Introduction Usage of collective load data in the development

More information

Impact of Environment-Friendly Tires on Pavement Damage

Impact of Environment-Friendly Tires on Pavement Damage Impact of Environment-Friendly Tires on Pavement Damage Hao Wang, PhD Assistant Professor, Dept. of CEE Rutgers, the State University of New Jersey The 14th Annual NJDOT Research Showcase 10/18/2012 Acknowledgement

More information

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection

APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection APPLICATION NOTE QuickStick 100 Power Cable Sizing and Selection Purpose This document will provide an introduction to power supply cables and selecting a power cabling architecture for a QuickStick 100

More information

Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources

Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources Milano (Italy) August 28 - September 2, 211 Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources Ahmed A Mohamed, Mohamed A Elshaer and Osama A Mohammed Energy Systems

More information

Automatic Optimization of Wayfinding Design Supplementary Material

Automatic Optimization of Wayfinding Design Supplementary Material TRANSACTIONS ON VISUALIZATION AND COMPUTER GRAPHICS, VOL.??, NO.??,???? 1 Automatic Optimization of Wayfinding Design Supplementary Material 1 ADDITIONAL EXAMPLES We use our approach to generate wayfinding

More information

EXCERPTS FROM DEWDROP: AN ENERGY-AWARE RUNTIME FOR COMPUTATIONAL RFID

EXCERPTS FROM DEWDROP: AN ENERGY-AWARE RUNTIME FOR COMPUTATIONAL RFID EXCERPTS FROM DEWDROP: AN ENERGY-AWARE RUNTIME FOR COMPUTATIONAL RFID Michael Buettner (UW), Benjamin Greenstein (Intel Labs, Seattle), David Wetherall (UW) Challenges to Running Programs Efficiently 1.

More information

Coordinated Charging of Plug-in Hybrid Electric Vehicles to Minimize Distribution System Losses

Coordinated Charging of Plug-in Hybrid Electric Vehicles to Minimize Distribution System Losses Coordinated Charging of Plug-in Hybrid Electric Vehicles to Minimize Distribution System Losses Presented by: Amit Kumar Tamang, PhD Student Smart Grid Research Group-BBCR aktamang@uwaterloo.ca Supervisor

More information

Next-generation Inverter Technology for Environmentally Conscious Vehicles

Next-generation Inverter Technology for Environmentally Conscious Vehicles Hitachi Review Vol. 61 (2012), No. 6 254 Next-generation Inverter Technology for Environmentally Conscious Vehicles Kinya Nakatsu Hideyo Suzuki Atsuo Nishihara Koji Sasaki OVERVIEW: Realizing a sustainable

More information

Enabling Utility Scale PV: Challenges for Glass Makers

Enabling Utility Scale PV: Challenges for Glass Makers Enabling Utility Scale PV: Challenges for Glass Makers Romain Beau de Lomenie Director, Module Materials Management Thin Film Products, Solar Business Group Applied Materials International Workshop on

More information

Introducing the OMAX Generation 4 cutting model

Introducing the OMAX Generation 4 cutting model Introducing the OMAX Generation 4 cutting model 8/11/2014 It is strongly recommend that OMAX machine owners and operators read this document in its entirety in order to fully understand and best take advantage

More information

Online Learning and Optimization for Smart Power Grid

Online Learning and Optimization for Smart Power Grid 1 2016 IEEE PES General Meeting Panel on Domain-Specific Big Data Analytics Tools in Power Systems Online Learning and Optimization for Smart Power Grid Seung-Jun Kim Department of Computer Sci. and Electrical

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L22 S.1

More information

DESTA - Demonstration of 1 st European SOFC Truck APU Jürgen Rechberger AVL List GmbH

DESTA - Demonstration of 1 st European SOFC Truck APU Jürgen Rechberger AVL List GmbH DESTA - Demonstration of 1 st European SOFC Truck APU 278899 Jürgen Rechberger AVL List GmbH Project and Partnership General Overview Demonstration of 1st European SOFC Truck APU 36 months Total Budget:

More information

Module-Integrated Power Electronics for Solar Photovoltaics. Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012

Module-Integrated Power Electronics for Solar Photovoltaics. Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012 Module-Integrated Power Electronics for Solar Photovoltaics Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012 Solar Photovoltaic System Challenges Solar Photovoltaic

More information

Analysis of Big Data Streams to Obtain Braking Reliability Information July 2013, for 2017 Train Protection 1 / 25

Analysis of Big Data Streams to Obtain Braking Reliability Information July 2013, for 2017 Train Protection 1 / 25 Analysis of Big Data Streams to Obtain Braking Reliability Information for Train Protection Systems Prof. Dr. Raphael Pfaff Aachen University of Applied Sciences pfaff@fh-aachen.de www.raphaelpfaff.net

More information

QuickStick Repeatability Analysis

QuickStick Repeatability Analysis QuickStick Repeatability Analysis Purpose This application note presents the variables that can affect the repeatability of positioning using a QuickStick system. Introduction Repeatability and accuracy

More information

A Time-to-Digital Converter with Small Circuitry

A Time-to-Digital Converter with Small Circuitry Gunma University Kobayashi AB1 ASP-DAC 2009 University SI Design Contest 1D-10 A Time-to-Digital Converter with Small Circuitry Kazuya Shimizu, Masato Kaneta, Shigeyuki Nishimura, aijun in, aruo Kobayashi,

More information

Exercise 3. Battery Charging Fundamentals EXERCISE OBJECTIVE DISCUSSION OUTLINE DISCUSSION. Charging fundamentals

Exercise 3. Battery Charging Fundamentals EXERCISE OBJECTIVE DISCUSSION OUTLINE DISCUSSION. Charging fundamentals Exercise 3 Battery Charging Fundamentals EXERCISE OBJECTIVE When you have completed this exercise, you will be familiar with the effects of charge input, charge rate, and ambient temperature on the voltage

More information

On Using Storage and Genset for Mitigating Power Grid Failures

On Using Storage and Genset for Mitigating Power Grid Failures 1 / 27 On Using Storage and Genset for Mitigating Power Grid Failures Sahil Singla ISS4E lab University of Waterloo Collaborators: S. Keshav, Y. Ghiassi-Farrokhfal 1 / 27 Outline Introduction Background

More information

Solution-processed carbon nanotube thin-film complementary static random access memory

Solution-processed carbon nanotube thin-film complementary static random access memory Solution-processed carbon nanotube thin-film complementary static random access memory Michael L. Geier, Julian J. McMorrow, Weichao Xu, Jian Zhu, Chris H. Kim, Tobin J. Marks, and Mark C. Hersam * *Corresponding

More information

Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments. VP - Strategic Partnership Programs

Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments. VP - Strategic Partnership Programs Hybrid Metrology 2.0: From Metrology to Information Technology Avron Ger - Nova Measuring Instruments VP - Strategic Partnership Programs Outline Hybrid Metrology Introduction Hybrid Metrology Use Cases

More information

Advanced Virgo Beam Geometry

Advanced Virgo Beam Geometry Advanced Virgo Beam Geometry Stefan Hild for OSD OSD internal review, March 2009 Executive summary: Beam Geometry Advanced Virgo needs to have a sensitivity competitive with Advanced LIGO in order to contribute

More information

Industry-Wide Light Duty Hydrogen Vehicle Fueling Protocol up to 70MPa: Created by Math Modeling and Confirmed by System Testing

Industry-Wide Light Duty Hydrogen Vehicle Fueling Protocol up to 70MPa: Created by Math Modeling and Confirmed by System Testing Industry-Wide Light Duty Hydrogen Vehicle Fueling Protocol up to 70MPa: Created by Math Modeling and Confirmed by System Testing Jesse Schneider, Ian Sutherland-GM, Mike Veenstra- Ford, Mark McDougall-

More information

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation

AVL SERIES BATTERY BENCHMARKING. Getting from low level parameter to target orientation 1 AVL SERIES BATTERY BENCHMARKING Getting from low level parameter to target orientation CONTENTS OVERVIEW 1. AVL Introduction 2. Focus Series Battery Benchmarking 3. Benchmarking process 4. Target comparability

More information

High Efficiency Battery Charger using Power Components [1]

High Efficiency Battery Charger using Power Components [1] APPLICATION NOTE AN:101 High Efficiency Battery Charger using Power Components [1] Marco Panizza Senior Applications Engineer Contents Page Introduction 1 A Unique Converter Control Scheme 1 The UC3906

More information

Objectives / Expected Results

Objectives / Expected Results Objectives / Expected Results WP Leader: Dr. M. Moser, T. Moeller Cut operating, maintenance and deployment costs Develop systems, methods an processes for improved engine lifetime performance Reduction

More information

Machine Design Optimization Based on Finite Element Analysis using

Machine Design Optimization Based on Finite Element Analysis using Machine Design Optimization Based on Finite Element Analysis using High-Throughput Computing Wenying Jiang T.M. Jahns T.A. Lipo WEMPEC Y. Suzuki W. Taylor. JSOL Corp. UW-Madison, CS Dept. 07/10/2014 2014

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

Building Fast and Accurate Powertrain Models for System and Control Development

Building Fast and Accurate Powertrain Models for System and Control Development Building Fast and Accurate Powertrain Models for System and Control Development Prasanna Deshpande 2015 The MathWorks, Inc. 1 Challenges for the Powertrain Engineering Teams How to design and test vehicle

More information

Research Challenges for Automated Vehicles

Research Challenges for Automated Vehicles Research Challenges for Automated Vehicles Steven E. Shladover, Sc.D. University of California, Berkeley October 10, 2005 1 Overview Reasons for automating vehicles How automation can improve efficiency

More information

Afghanistan Energy Study

Afghanistan Energy Study Afghanistan Energy Study Universal Access to Electricity Prepared by: KTH-dESA Dubai, 11 July 2017 A research initiative supported by: 1 Outline Day 1. Energy planning and GIS 1. Energy access for all:

More information

Simulation of joining technologies to support JLR new model development. Dr Li Wang (PhD, CEng, MIMechE) AME, BIW, Joining Technologies

Simulation of joining technologies to support JLR new model development. Dr Li Wang (PhD, CEng, MIMechE) AME, BIW, Joining Technologies Simulation of joining technologies to support JLR new model development Dr Li Wang (PhD, CEng, MIMechE) AME, BIW, Joining Technologies Contents Introduction Joint feasibility simulation Joint performance

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System

Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Real-Time Simulation of A Modular Multilevel Converter Based Hybrid Energy Storage System Feng Guo, PhD NEC Laboratories America, Inc. Cupertino, CA 5/13/2015 Outline Introduction Proposed MMC for Hybrid

More information

Battery Life in Water Communication Modules

Battery Life in Water Communication Modules Battery Life in Water Communication Modules Satish Bhakta, Ph.D. Advisor, Hardware Solutions WHITE PAPER WHITE PAPER knowledge to shape your future Table of Contents Introduction... 3 Battery Technology...

More information

Tragedy of the Coulombs

Tragedy of the Coulombs Tragedy of the Coulombs Federating Energy Storage for Tiny, Intermittently-Powered Sensors Josiah Hester Lanny Sitanayah Jacob Sorber SenSys 15 November 2, 215 Sensing 2 3 This Talk 1. Batteryless sensing

More information

10+ YEARS SPECIFIED BATTERY LIFE. Case study: Strips by. Optimizing power usage in IoT devices

10+ YEARS SPECIFIED BATTERY LIFE. Case study: Strips by. Optimizing power usage in IoT devices Case study: Strips by Published: September 2017 10+ YEARS SPECIFIED BATTERY LIFE Optimizing power usage in IoT devices In many modern battery operated systems, the expected battery life is dependent on

More information

Inverter control of low speed Linear Induction Motors

Inverter control of low speed Linear Induction Motors Inverter control of low speed Linear Induction Motors Stephen Colyer, Jeff Proverbs, Alan Foster Force Engineering Ltd, Old Station Close, Shepshed, UK Tel: +44(0)1509 506 025 Fax: +44(0)1509 505 433 e-mail:

More information