Improving Memory System Performance with Energy-Efficient Value Speculation

Size: px
Start display at page:

Download "Improving Memory System Performance with Energy-Efficient Value Speculation"

Transcription

1 Improving Memory System Performance with Energy-Efficient Value Speculation Nana B. Sam and Min Burtscher Computer Systems Laboratory Cornell University Ithaca, NY {besema, ABSTRACT Microprocessor speeds have been improving much faster than memory speeds, resulting in the CPU spending a larger and larger amount of time waiting for data. Processor designers have employed several ways to improve memory performance, including hierarchical caching, prefetching, and faster memory chips. Yet, memory accesses still represent a major performance bottleneck and much remains to be done to tolerate the increasing memory latencies. Load-value prediction has been shown to effectively hide some of this latency. However, the hardware required to achieve good performance is substantial, making load-value prediction unappealing in light of increasing power constraints. In this paper, we present a novel predictor that significantly increases CPU performance while at the same time decreasing the energy consumption of the entire processor relative to a baseline with a well-performing hybrid load-value predictor. 1. INTRODUCTION While processor speeds have been increasing by about a factor of two every 18 months in keeping with Moore s Law, memory speeds have only been improving by 5% a year. This has led to a speed gap between the memory and the processor that doubles every 21 months or so. Thus, processors spend a significant portion of the time idle because the memory cannot serve data at a sufficient speed. Finding solutions to bridge this gap has kept designers engaged for over a decade now. Techniques to help resolve the imbalance between fast processors and slow memory include large and deep caching, non-blocking caches, cache-conscious load scheduling, hardware and software prefetching, and data speculation. Conventional cache systems rely heavily on the temporal and spatial locality of programs. However, programs also exhibit value locality [12]. Hence, value prediction has the potential to be a powerful supplement to the cache system s effectiveness. Value locality describes the correlation of previously seen values with future values in registers and memory cells. It allows the classical dataflow limit to be exceeded by executing instructions before their operands have been computed. Several studies on exploiting different types of value locality have shown that most instructions generate predictable results. However, load instructions are by far the most critical to overall performance [7]. To exploit as much load-value locality as possible, many predictors have been proposed, including hybrids that combine several component predictors [5], [17], [26] and incorporate a selection mechanism to determine the best component for predicting each dynamic instruction. The selection mechanisms include using the confidence of a component [17], the type of value sequence [15], or the characteristic of the load [18]. Poor selectors, however, can nullify the performance benefit of a hybrid predictor. Moreover, hybrids require sizeable hardware structures that consume large amounts of energy. With the power dissipation of modern processors becoming one of the most critical issues facing designers [11], it is imperative that predictors provide as much performance for as little power as possible. In this paper, we show that the selector in a hybrid can be a significant source of inefficiency and propose the novel cycling hybrid predictor, which is designed to improve the selection mechanism and the energy-efficiency. We find that this novel predictor can simultaneously increase the CPU performance and reduce the energy consumption of the processor. The remainder of this paper is organized as follows. Section 2 describes our energy-efficient cycling hybrid predictor. Section 3 presents the simulation framework. Section 4 provides performance results and analyses. Section 5 gives an overview of related work and Section 6 summarizes the contributions of our study. 2. HIGH-PERFORMANCE, ENERGY-EFFI- CIENT VALUE SPECULATION 2.1 High-Performance Value Prediction Load instructions represent a major performance bottleneck but they have been shown to fetch predictable sequences [12]. In fact, load-value prediction, espe-

2 cially using hybrid predictors [6], [17], [26], has been demonstrated to effectively hide some of the memory latency and thus enhance performance. Hybrid predictors employ a selection mechanism responsible for choosing the most suitable component for each prediction. The three most often used predictor components are described next. The last value predictor (LV) [8], [12], [22] predicts that a load instruction will load the same value it did the previous time it executed. The stride 2-delta predictor (ST2D) [22] stores the last value for each load and also maintains a stride, i.e., the difference between the last two loaded values. It can predict sequences with zero or non-zero differences between consecutive values. When a load completes, ST2D updates the last value but updates the stride only if it encounters the same stride twice in a row. This update hysterisis has been shown to significantly increase performance [22]. The third-order differential finite context method predictor (DFCM3) [9] computes a hash value [16], [17], [22] out of the last three strides to index the predictor s second-level table, which is shared by all loads. This table stores the strides that followed all previously seen sequences of three strides (modulo the table size). After encountering a sequence of load values for the first time, DFCM3 can predict any load that loads the same sequence or a different sequence with the same strides. Prediction accuracy (%) LV ST2D DFCM3 Figure 1. Performance of predictors when all loads are predicted Figure 1 shows the accuracy that can be expected from these predictors for the ten SPECcpu2000 programs we evaluated. On average fewer than 50% of the load values can be predicted correctly with these predictors. Because making no prediction and waiting for the memory access to complete is faster than making an incorrect prediction and having to recover from it, most predictors from the literature include a confidence estimator. Confidence estimators inhibit predictions that are likely to be incorrect [4] and thus reduce the number of mispredictions and the associated recovery cost, which improves the predictor s overall performance. The frequently-used bimodal confidence estimator [12], [16], [17] is based on saturating up/down counters with four parameters: a maximum, a threshold, a penalty and an award. The maximum is the upper bound of the counter (the minimum is always zero). A value prediction is made only if the count is above the threshold. When an unpredictable value is encountered the counter is decremented by the penalty, and on a predictable value the counter is incremented by the award. Rychlik et al. [17] introduced a hybrid predictor that combines a stride and a finite context method (FCM) predictor. The component with the highest confidence makes the prediction. In case of a tie, the FCM is given priority since it provides the best accuracy. The authors showed that the hybrid predictor was more effective than either of the component predictors. We incorporate their selection scheme in a hybrid of a last value, a stride-2-delta and a third-order differential finite context method predictor. In the event of a tie in confidence, the DFCM3 is given priority over the ST2D, which has priority over the LV. Relative to total loads 100% 80% 60% 40% 20% 0% no prediction misprediction correct prediction Figure 2. Fraction of loads not predicted, mispredicted and correctly predicted by a conventional hybrid with a confidence estimator Figure 2 shows that on average, 44.1% of the loads are predicted by the conventional hybrid with 98% accuracy. However, a large percentage (over 55% on average) of the loads is not predicted. This is especially true for where fewer than 30% of the loads are predicted. Figure 3 provides a closer examination of which components were selected for making the predictions. For most of the programs the LV component is used rarely. This is ply due to the fact that the LV component has the lowest priority in the event of a confidence tie and ply because LV is the weakest component as Figure 1 shows. Nevertheless, the majority of the predictions could be made by any of the three components. The goal of putting different predictors in a hybrid is to maximize the loads that are predicted correctly. If a

3 component in the hybrid is hardly used, it consumes energy needlessly. Moreover, DFCM3 is the largest and most complex component in the hybrid and therefore the component that consumes the most energy on each access. Because power consumption is becoming a major design constraint, it is imperative that each unit in the predictor be used efficiently. Distribution of predictions (%) DFCM3 ST2D LV Figure 3. Percent of loads directed to each component in the conventional hybrid predictor 2.2 The Cycling Hybrid Predictor In order to efficiently use the components in the hybrid predictor, we designed the cycling hybrid predictor. This predictor also reduces selection-related losses and decreases energy consumption. The counters in confidence estimators are incremented in small steps when a predictable value is seen and decremented in large steps when the value is unpredictable. As mentioned earlier, the conventional hybrid uses a priority scheme to determine which component to use for a prediction when there is a tie in the confidence. However, most loads are best predicted by a picular component. In addition, different loads may be mapped to the same predictor entry, resulting in interference that often leads to the selector switching back and forth between components. To offset these weaknesses, we devised the cycling selector. How the cycling selector works: It comprises a saturating up/down counter and a component pointer. Each predictor line has its own component pointer and counter (Figure 4). The component pointer indicates which component to use for the next prediction. When the value is predictable, the counter is set to the maximum, otherwise it is decremented by one. We found these parameters to result in the best performance enhancement. Note that this is opposite of how confidence estimators work. For as long as the counter remains above zero, the component pointed to is not altered. Just like in the conventional predictor, a prediction is only made if the confidence of the picular component is above a pre-defined threshold. When the counter reaches zero, the component pointer moves to a new component and the counter is reset to the maximum. The components are traversed in a round robin fashion, hence the name cycling selector. comp ptr counter traditional predictor line Figure 4. Each predictor line is extended with the cycling selector (component pointer and counter) By cycling through the hybrid s components, each load gets to try a component for some time without polluting the remaining components. If the current component turns out to be ineffective, the selector advances to the next component. This continues until the load settles on a good component. Because multiple loads can map to the same line, the confidence of that line is affected by the predictability of all loads accessing that line. Thus, unpredictable loads can pollute the confidence of predictable loads. Since the conventional selector relies on this confidence, it can easily select the wrong component. Using the cycling selector, a load is forced to stay with a component at least for a while. This way, the effects of negative aliasing in the confidence estimator are drastically reduced. Additionally, since only the selected component is updated, the predictor tables also experience less pollution. We use 4-bit counters in the cycling selector and initially set each one to the maximum, i.e., 15. If a value is unpredictable, the counter is decremented by one. Otherwise, it is reset to 15. The pointers are initialized with different components, i.e., the component pointer associated with the first predictor entry is initialized with LV, the second with ST2D, the third with DFCM3, the fourth with LV, etc. This scheme is termed cycling_hybrid_4. Distribution of predictions 100% 80% 60% 40% 20% 0% DFCM3 ST2D LV average Figure 5. Percent of dynamic loads directed to each component in the cycling_hybrid_4 predictor The effect of the cycling nature of the selector is evident in Figure 5. Because the components are traversed

4 in round-robin fashion, their usage is nicely balanced. This is the effect we had hoped for. The lower-power components are now used more frequently, the pollution of the confidence estimator and prediction tables is reduced, and each load is still predicted by a good component. As a result, the cycling hybrid outperforms the already high-performing conventional hybrid predictor and reduces the energy consumption of the processor. To the best of our knowledge, no previous work has described a hybrid selection mechanism that improves both performance and energy consumption simultaneously. 3. EXPERIMENTAL FRAMEWORK Our experiments were conducted using a detailed, cycle-accurate simulator derived from the SimpleScalar/Alpha 3.0 tool set [3]. We incorporated value prediction into this simulator and integrated it with the Wattch power model [2] to obtain the energy data. Wattch provides switching capacitance modeling for structures like ALUs, caches, arrays and busses in a processor. 3.1 Processor Configuration Our baseline architecture is an 8-way superscalar outof-order CPU with 20 pipeline stages, a 128-entry instruction window, a 64-entry load/store queue, a 32- entry 8-way instruction TLB, a 64-entry 8-way data TLB, both with a 30-cycle miss penalty, a 64kB 2-way 2-cycle L1 instruction cache, a 128kB 2-way 3-cycle L1 data cache, a unified 4MB 4-way 20-cycle L2 cache, an 8k-entry hybrid gshare-bimodal branch predictor, two load/store units, six integer ALU units, four floating-point adders, and two floating-point MULT/DIV units. The data cache is write-back and non-blocking with two ports. The caches have a block size of 64 bytes. All functional units except the divide unit are pipelined to allow a new instruction to initiate execution each cycle. It takes 300 cycles to access main memory. No store alias dependence prediction is enabled to predict aliases between load and store instructions [17]. Wattch s linear scaling is used to obtain energy results for 0.13µm technology, V dd = 1.3V and a clock speed of 2.0 GHz. V th is 0.38V. The cache and predictor latencies are obtained with Cacti 3.2 [24]. Static power is estimated as 25% of dynamic power. This baseline processor is augmented with a hybrid predictor with an LV, an ST2D and a DFCM3 component. Each component has 1024 entries in its tables. DFCM3 has two such tables. The predictors include a bimodal confidence estimator (CE) with three-bit saturating counters with a threshold of five, a penalty of three and an award of one. The same CE configuration is used for all predictors. Predictions are made after decode, the predictors are updated as soon as the true load value is available, there are no speculative updates, and an out-of-date prediction is made as long as there are pending updates to the same predictor line. We use the re-fetch misprediction scheme [8]. It is identical to that used for recovering from branch mispredictions. As an energy-saving optimization, we do not recover from wrong predictions that are overwritten with the true load value before they were first used. 3.2 Benchmark Programs Ten C programs (six integer and four floating-point) from the SPECcpu2000 benchmark suite [25], together with the provided reference inputs, are used in our evaluation. Each program was compiled on a DEC Alpha processor using the O3 arch host optimization flags. We employed SimPoint [23] to select a representative subset (500 million instructions in length) of each benchmark trace. Table 1 shows the number of instructions (in billions) that are skipped before beginning the cycle-accurate simulations, the number of simulated load instructions (in millions), the percentage of simulated instructions that are loads and the IPC on the baseline CPU, for each program. Table 1. Information about the simulated segments of the benchmark programs program skipped simulated % insts (B) loads (M) loads base IPC RESULTS AND ANALYSES This section presents the performance evaluation of the cycling hybrid predictor. Unlike most previous work in value speculation that considered energy, we take the energy consumption of the entire processor into account, not just the predictor. This is essential because adding value prediction increases the energy consumption in several ps of the processor due to the increase in speculative activity, whether useful or not [14], [19]. Figure 6 shows the IPCs for each program when utilizing our technique compared to the conventional hybrid predictor. It is worth mentioning that the conventional

5 method provides substantial performance improvement, up to 28% in the ten programs we study, over no prediction at all. Figure 6 demonstrates that our approach outperforms the conventional hybrid, especially for and. In fact, for it results in a 30% increase in performance. s loads are better predicted by LV and ST2D than by DFCM3. However, the conventional hybrid makes only 32% of predictions with these two components (Figure 3). On the other hand, the cycling hybrid makes 71% of the predictions for with the LV and ST2D components (Figure 5). Note that is the only program whose performance suffers with our method. This is because s loads are much better predicted by DFCM3 than LV or ST2D (Figure 1). Therefore, benefits when the conventional hybrid makes 89% of prediction with the DFCM3 component. On the other hand, the loadbalancing feature in the cycling hybrid uses the DFCM3 for only 34% of the predictions. IPC conventional hybrid cycling_hybrid_4 Figure 6. IPCs using the cycling hybrid compared to using the conventional hybrid As demonstrated next, the cycling hybrid not only enhances performance but also reduces the energy consumption. Figure 7 shows how much energy the processor consumes when running each program with the two hybrid predictors. Again, with the exception of, the cycling hybrid consistently provides energy savings over the conventional hybrid. benefits the most; the processor with the cycling hybrid consumes about 42% less energy than that with the conventional hybrid. Note that this is a processor-wide energy reduction of 42%, not just in the predictor. It is worth noting that is a memory-bound program, i.e., it spends a substantial amount of time waiting for data, during which time the processor is expending energy needlessly. By hiding more of the memory latency with our hybrid, the processor is able to make progress and save energy. In general, the higher performance-to-energy ratio obtained with the cycling hybrid is primarily due to the fact that predictor pollution is reduced, which results in more correct predictions and more correct confidence estimations. This in turn speeds up the processor and reduces the overall energy requirement. Average energy (nj) conventional hybrid cycling_hybrid_4 Figure 7. Processor-wide energy consumption of the cycling hybrid compared to the conventional hybrid Furthermore, the fewer mispredictions lower the energy expenditure due to useless speculation activities. Finally, by having the simpler predictor components make more predictions than in the conventional hybrid, less energy is consumed. Sensitivity to predictor size: Figure 8 presents the IPCs for each program for different numbers of entries in the hybrid components. When the size of the cycling hybrid is halved (cycling_hybrid_4[512]), i.e., reduced from 1024 to 512 predictor entries, the performance is still within 99% of that of cycling_hybrid_4[1024]. When we further reduce the number of predictor entries to 256, the performance reduction is less than 5%, with a concomitant savings in energy. In fact, and show a slight improvement in performance when less state is used. This indicates a potential for reducing energy consumption even further with little loss in speedup. Note that cycling_hybrid_4[256] still outperforms the conventional hybrid even though it has an almost four times smaller die-area requirement and can be accessed faster. IPC cycling_hybrid_4[1024] cycling_hybrid_4[512] cycling_hybrid_4[256] Figure 8. IPCs of cycling hybrids with varying number of predictor entries

6 Sensitivity to the counter size: So far, a 4-bit counter per predictor entry has been employed as p of our selection mechanism (see Section 2.2). To determine how much hysterisis is required for good performance, we varied the size of these counters, and consequently the maximum value of the counter. The number of predictor entries is fixed at 1024 for this study. Figure 9 shows the IPCs for each program when the counter size is varied between 2 and 6 bits. We observe that the predictor is largely insensitive to the maximum count. For most of the programs, we find that using a 5-bit counter provides the best performance to energy ratio. When the count size is too small, e.g., 2 bits, there is not enough hysteresis and the selector switches from one component to the next too quickly. When the counter size is larger than 5 bits the performance benefit begins to diminish. IPC cycling_hybrid_2 cycling_hybrid_3 cycling_hybrid_4 cycling_hybrid_5 cycling_hybrid_6 Figure 9. IPCs of cycling hybrids for varying counter sizes 5. RELATED WORK Improving memory system performance with loadvalue speculation has been studied extensively [8], [9], [10], [12], [17], [22], [26]. However, in recent years the energy requirements of the predictors have sted to receive some attention. Techniques proposed to reduce energy consumption include sharing common tables in hybrid predictors [5], predicting only frequently occurring values [21], pitioning tables into smaller ones [13], [20], and predicting instructions selectively [1], [7]. Unlike in these prior studies, we focus not only on the energy consumption of the predictor but on the entire processor. This is important because some power-saving techniques increase the number of mispredictions and recovering from mispredictions has a significant negative impact on the energy consumption of the processor as a whole [14], [19]. The most commonly used selection mechanism in the literature was introduced by Rychlik et al. [17]. It chooses the component with the highest confidence value and gives priority to the better performing component in the case of a tie. We compare our selector to theirs in this paper. Even though their mechanism provides good performance, our approach utilizes the hybrid components in a more balanced way while increasing the performance and energy-efficiency of the predictor, and reducing the energy consumption of the entire processor. Pinuel et al. [15] proposed a hybrid predictor that combines a last value, a stride and a finite context method predictor. They suggested a finite state machine that selects which component to use for the next prediction based on the classification of value sequences. For each sequence the component with the lowest hardware cost is used to make the prediction. Our approach provides a simpler alternative to tracking and classifying sequences. In a previous publication, the authors proposed a hybrid selector that exploited the fact that microprocessors support different types of loads and that each load type is best predicted by a picular component. That approach is dependent on profile information, while the cycling hybrid predictor is dynamically adaptive. 6. CONCLUSIONS Current microprocessors execute instructions very fast provided that long latency memory operations are not involved. Thus, the increasing memory latencies represent a major setback in exploiting instruction-level parallelism. Fortunately, load-value prediction has been shown to be an effective latency tolerating technique. By correctly predicting the value of a load instruction, dependent instructions can avoid stalling while the memory is being accessed. However, value prediction has remained undesirable because high-performing predictors cause the processor to consume large amounts of energy. In this paper, we propose the novel cycling hybrid predictor that outperforms the already well-performing conventional hybrid while significantly reducing the energy consumption of the processor. We describe a novel selector that uses simple counters to dynamically cycle through the hybrid components and assign the best component to each load instruction. By employing our selector, the components of a hybrid are put to use in a much more balanced way than in a conventional hybrid. Consequently, the less complex components are used more often and more efficiently, saving on overall energy. Additionally, by updating only the relevant components identified by the cycling selector, predictor pollution is greatly reduced and performance is increased over the conventional hybrid. We also show that our cycling hybrid can be made much smaller, while still outperforming a larger conventional hybrid.

7 Memory-bound applications suffer the most in execution time and energy consumption from the increasing memory latencies. In this work, we have demonstrated that our simple cycling hybrid predictor can effectively offset this behavior by hiding the latency, thus improving performance and reducing energy consumption at the same time. 7. ACKNOWLEDGEMENT This work has been supported in p by the National Science Foundation (NSF) under Award # and by a grant from Intel Corporation. 8. REFERENCES [1] R. Bhargava, L. K. John. Performance and Energy Impact of Instruction-Level Value Predictor Filtering. First Value-Prediction Workshop, 2003, pp [2] D. Brooks, V. Tiwari, M. Monosi. Wattch: A Framework for High-Performance Microprocessors. Seventh International Symposium on High-Performance Computer Architecture, 2001, pp [3] D. Burger, T. M. Austin. The SimpleScalar Tool Set, version 2.0. ACM SIGARCH Computer Architecture News, [4] M. Burtscher, B. G. Zorn. Prediction Outcome Historybased Confidence Estimation for Load Value Prediction. Journal of Instruction-Level Parallelism, [5] M. Burtscher, B. G. Zorn. Hybridizing and Coalescing Load Value Predictors. International Conference on Computer Design, 2000, pp [6] M. Burtscher, B. G. Zorn. Hybrid Load-Value Predictors. IEEE Transactions on Computers, 2002, pp [7] B. Calder, G. Reinman, D. M. Tullsen. Selective Value Prediction. 26 th Annual International Symposium On Computer Architecture, 1999, pp [8] F. Gabbay. Speculative Execution Based on Value Prediction. Technical Report 1080, Depment of Electrical Engineering, Technion-Israel Institue of Technology, [9] B. Goeman, H. Vandierendonck, K. De Bosschere. Differential FCM: Increasing Value Prediction Accuracy by Improving Table Usage Efficiency. Seventh International Symposium on High-Performance Computer Architecture, 2001, pp [10] J. Gonzalez, A. Gonzalez. The Potential of Data Value Speculation to Boost ILP. 12 th International Conference on Supercomputing, 1998, pp [11] R. Gonzalez, M. Horowitz. Energy Dissipation in General Purpose Microprocessors. IEEE Journal of Solid- State Circuits, 1996, pp [12] M. H. Lipasti, C. B. Wilkerson, J. P. Shen. Value Locality and Load Value Prediction. Second International Conference on Architectural Support for Programming Languages and Operating Systems, 1996, pp [13] G. H. Loh. Width-Pitioned Load Value Predictors. Journal of Instruction-Level Parallelism, 2003, pp [14] R. Moreno, L. Pinuel, S. del Pino, F. Tirado. A Power- Perspective of Value Speculation for Superscalar Microprocessors. International Conference on Computer Design, 2000, pp [15] L. Pinuel, R. A. Moreno, F. Tirado. Implementation of Hybrid Context Based Value Predictors Using Value Sequence Classification. Euro-Par, 1999, pp [16] G. Reinman, B. Calder. Predictive Techniques for Aggressive Load Speculation. 31 st IEEE/ACM International Symposium on Microarchitecture, 1998, pp [17] B. Rychlik, J. Faistl, B. Krug, J. P. Shen. Efficacy and Performance Impact of Value Prediction. International Conference on Parallel Architectures and Compilation Techniques, 1998, pp [18] N. B. Sam, M. Burtscher. Exploiting Type Information in Load-Value Predictors. Second Value-Prediction and Value-Based Optimization Workshop, 2004, pp [19] N. B. Sam, M. Burtscher. On the Energy-Efficiency of Speculative Hardware. To appear in 2005 ACM International Conference on Computing Frontiers, [20] T. Sato, I. Arita. Table Size Reduction for Data Value Predictors by Exploiting Narrow Width Values. 14 th International Conference on Supercomputing, 2000, pp [21] T. Sato, I. Arita. Low-Cost Value Prediction Using Frequent Value Locality. Fourth International Symposium on High Performance Computing, 2002, pp [22] Y. Sazeides, J. E. Smith. The Predictability of Data Values. Thirteenth IEEE/ACM International Symposium on Microarchitecture, 1997, pp [23] T. Sherwood, E. Perelman, G. Hamerly, B. Calder. Automatically Characterizing Large Scale Program Behavior. Tenth International Conference on Architectural Support for Programming Languages and Operating Systems, 2002, pp [24] P. Shivakumar, N. P. Jouppi. CACTI 3.0: An Integrated Cache Timing, Power and Area Model. TR 2001/2. Compaq Western Research Laboratory, [25] SPECcpu2000 benchmarks. [26] K. Wang, M. Franklin. Highly Accurate Data Value Prediction using Hybrid Predictors. 30 th Annual ACM/IEEE International Symposium on Microarchitecture, 1997, pp

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to

Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to Copyright 1996 IEEE. Published in the Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques, October 21-23 1996, Boston, USA. Personal use of this material is permitted.

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose Proceedings of the 22 Winter Simulation Conference E. Yücesan, C.-H. Chen, J. L. Snowdon, and J. M. Charnes, eds. SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING Oliver Rose

More information

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM 2011 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM MODELING & SIMULATION, TESTING AND VALIDATION (MSTV) MINI-SYMPOSIUM AUGUST 9-11 DEARBORN, MICHIGAN INTELLIGENT ENERGY MANAGEMENT IN

More information

Direct Injection Ethanol Boosted Gasoline Engines: Biofuel Leveraging For Cost Effective Reduction of Oil Dependence and CO 2 Emissions

Direct Injection Ethanol Boosted Gasoline Engines: Biofuel Leveraging For Cost Effective Reduction of Oil Dependence and CO 2 Emissions Direct Injection Ethanol Boosted Gasoline Engines: Biofuel Leveraging For Cost Effective Reduction of Oil Dependence and CO 2 Emissions D.R. Cohn* L. Bromberg* J.B. Heywood Massachusetts Institute of Technology

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Synthesis of Optimal Batch Distillation Sequences

Synthesis of Optimal Batch Distillation Sequences Presented at the World Batch Forum North American Conference Woodcliff Lake, NJ April 7-10, 2002 107 S. Southgate Drive Chandler, Arizona 85226-3222 480-893-8803 Fax 480-893-7775 E-mail: info@wbf.org www.wbf.org

More information

Cost-Efficiency by Arash Method in DEA

Cost-Efficiency by Arash Method in DEA Applied Mathematical Sciences, Vol. 6, 2012, no. 104, 5179-5184 Cost-Efficiency by Arash Method in DEA Dariush Khezrimotlagh*, Zahra Mohsenpour and Shaharuddin Salleh Department of Mathematics, Faculty

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by Milo Martin & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin

More information

Fully Regenerative braking and Improved Acceleration for Electrical Vehicles

Fully Regenerative braking and Improved Acceleration for Electrical Vehicles Fully Regenerative braking and Improved Acceleration for Electrical Vehicles Wim J.C. Melis, Owais Chishty School of Engineering, University of Greenwich United Kingdom Abstract Generally, car brake systems

More information

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University

Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3. David Wentzlaff Department of Electrical Engineering Princeton University Computer Architecture ELE 475 / COS 475 Slide Deck 6: Superscalar 3 David Wentzlaff Department of Electrical Engineering Princeton University 1 Agenda SpeculaJon and Branches Register Renaming Memory DisambiguaJon

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Improving Roadside Safety by Computer Simulation

Improving Roadside Safety by Computer Simulation A2A04:Committee on Roadside Safety Features Chairman: John F. Carney, III, Worcester Polytechnic Institute Improving Roadside Safety by Computer Simulation DEAN L. SICKING, University of Nebraska, Lincoln

More information

Chapter 1: Battery management: State of charge

Chapter 1: Battery management: State of charge Chapter 1: Battery management: State of charge Since the mobility need of the people, portable energy is one of the most important development fields nowadays. There are many types of portable energy device

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt 2001-05-11 1 Contents Introduction What is an AHS? Why use an AHS? System architecture Layers

More information

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS

Announcements. Programming assignment #2 due Monday 9/24. Talk: Architectural Acceleration of Real Time Physics Glenn Reinman, UCLA CS Lipasti, artin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar GAS STATION Pipelining II Fall 2007 Prof. Thomas Wenisch http://www.eecs.umich.edu/courses/eecs470 Slides developed in part by Profs. Austin,

More information

Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter

Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter MPM-07:000199 Uen Rev A Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter March 2007 Technical Paper Digital control implemented in an isolated DC/DC converter

More information

Adaptive Power Flow Method for Distribution Systems With Dispersed Generation

Adaptive Power Flow Method for Distribution Systems With Dispersed Generation 822 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 17, NO. 3, JULY 2002 Adaptive Power Flow Method for Distribution Systems With Dispersed Generation Y. Zhu and K. Tomsovic Abstract Recently, there has been

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: A New Concept in Processor Design 1 Page Abstract 3 What s Wrong with Existing Processors? 3 Introducing the Associative Processing Unit 5 The APU Edge 5 Overview of APU

More information

Optimal Vehicle to Grid Regulation Service Scheduling

Optimal Vehicle to Grid Regulation Service Scheduling Optimal to Grid Regulation Service Scheduling Christian Osorio Introduction With the growing popularity and market share of electric vehicles comes several opportunities for electric power utilities, vehicle

More information

VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION EFFICIENCY

VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION EFFICIENCY 2018 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM POWER & MOBILITY (P&M) TECHNICAL SESSION AUGUST 7-9, 2018 NOVI, MICHIGAN VARIABLE DISPLACEMENT OIL PUMP IMPROVES TRACKED VEHICLE TRANSMISSION

More information

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士

Computer Architecture 计算机体系结构. Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I. Chao Li, PhD. 李超博士 Computer Architecture 计算机体系结构 Lecture 3. Instruction-Level Parallelism I 第三讲 指令级并行 I Chao Li, PhD. 李超博士 SJTU-SE346, Spring 2018 Review ISA, micro-architecture, physical design Evolution of ISA CISC vs

More information

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment

Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Intelligent Energy Management System Simulator for PHEVs at a Municipal Parking Deck in a Smart Grid Environment Preetika Kulshrestha, Student Member, IEEE, Lei Wang, Student Member, IEEE, Mo-Yuen Chow,

More information

CITY OF MINNEAPOLIS GREEN FLEET POLICY

CITY OF MINNEAPOLIS GREEN FLEET POLICY CITY OF MINNEAPOLIS GREEN FLEET POLICY TABLE OF CONTENTS I. Introduction Purpose & Objectives Oversight: The Green Fleet Team II. Establishing a Baseline for Inventory III. Implementation Strategies Optimize

More information

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design Presented at the 2018 Transmission and Substation Design and Operation Symposium Revision presented at the

More information

The RCS-6V kit. Page of Contents. 1. This Book 1.1. Warning & safety What can I do with the RCS-kit? Tips 3

The RCS-6V kit. Page of Contents. 1. This Book 1.1. Warning & safety What can I do with the RCS-kit? Tips 3 The RCS-6V kit Page of Contents Page 1. This Book 1.1. Warning & safety 3 1.2. What can I do with the RCS-kit? 3 1.3. Tips 3 2. The principle of the system 2.1. How the load measurement system works 5

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 10: Static & Dynamic Scheduling Slides developed by M. Martin, A.Roth, C.J. Taylor and Benedict Brown at the University of Pennsylvania with sources that included

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12

More information

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019

6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 6.823 Computer System Architecture Prerequisite Self-Assessment Test Assigned Feb. 6, 2019 Due Feb 11, 2019 http://csg.csail.mit.edu/6.823/ This self-assessment test is intended to help you determine your

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Computer Aided Transient Stability Analysis

Computer Aided Transient Stability Analysis Journal of Computer Science 3 (3): 149-153, 2007 ISSN 1549-3636 2007 Science Publications Corresponding Author: Computer Aided Transient Stability Analysis Nihad M. Al-Rawi, Afaneen Anwar and Ahmed Muhsin

More information

Electromagnetic Fully Flexible Valve Actuator

Electromagnetic Fully Flexible Valve Actuator Electromagnetic Fully Flexible Valve Actuator A traditional cam drive train, shown in Figure 1, acts on the valve stems to open and close the valves. As the crankshaft drives the camshaft through gears

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

IMA Preprint Series # 2035

IMA Preprint Series # 2035 PARTITIONS FOR SPECTRAL (FINITE) VOLUME RECONSTRUCTION IN THE TETRAHEDRON By Qian-Yong Chen IMA Preprint Series # 2035 ( April 2005 ) INSTITUTE FOR MATHEMATICS AND ITS APPLICATIONS UNIVERSITY OF MINNESOTA

More information

STEAM the hydraulic hybrid system for excavators

STEAM the hydraulic hybrid system for excavators Pagina1 STEAM the hydraulic hybrid system for excavators Abstract During the past four years the Institute for Fluid Power Drives and Controls in Aachen has developed a hydraulic hybrid architecture for

More information

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus

Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Accurate and available today: a ready-made implementation of a battery management system for the new 48V automotive power bus Gernot Hehn Today s personal vehicles have an electrical system operating from

More information

Data envelopment analysis with missing values: an approach using neural network

Data envelopment analysis with missing values: an approach using neural network IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.2, February 2017 29 Data envelopment analysis with missing values: an approach using neural network B. Dalvand, F. Hosseinzadeh

More information

Real-time Bus Tracking using CrowdSourcing

Real-time Bus Tracking using CrowdSourcing Real-time Bus Tracking using CrowdSourcing R & D Project Report Submitted in partial fulfillment of the requirements for the degree of Master of Technology by Deepali Mittal 153050016 under the guidance

More information

An Experimental System for Battery Management Algorithm Development

An Experimental System for Battery Management Algorithm Development An Experimental System for Battery Management Algorithm evelopment Jonas Hellgren, Lei Feng, Björn Andersson and Ricard Blanc Volvo Technology, Göteborg, Sweden E-mail: {jonas.hellgren, lei.feng, bjorn.bj.andersson,

More information

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003.

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003. Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter Technical Paper 003 March 2007 Digital control implemented in an isolated DC/DC converter provides equal or

More information

Practical Resource Management in Power-Constrained, High Performance Computing

Practical Resource Management in Power-Constrained, High Performance Computing Practical Resource Management in Power-Constrained, High Performance Computing Tapasya Patki*, David Lowenthal, Anjana Sasidharan, Matthias Maiterth, Barry Rountree, Martin Schulz, Bronis R. de Supinski

More information

Economic Impact of Derated Climb on Large Commercial Engines

Economic Impact of Derated Climb on Large Commercial Engines Economic Impact of Derated Climb on Large Commercial Engines Article 8 Rick Donaldson, Dan Fischer, John Gough, Mike Rysz GE This article is presented as part of the 2007 Boeing Performance and Flight

More information

Design Modeling and Simulation of Supervisor Control for Hybrid Power System

Design Modeling and Simulation of Supervisor Control for Hybrid Power System 2013 First International Conference on Artificial Intelligence, Modelling & Simulation Design Modeling and Simulation of Supervisor Control for Hybrid Power System Vivek Venkobarao Bangalore Karnataka

More information

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003.

Implications of. Digital Control. a High Performance. and Management for. Isolated DC/DC Converter. Technical Paper 003. Implications of Digital Control and Management for a High Performance Isolated DC/DC Converter Technical Paper 003 March 2007 Digital control implemented in an isolated DC/DC converter provides equal or

More information

Turbo boost. ACTUS is ABB s new simulation software for large turbocharged combustion engines

Turbo boost. ACTUS is ABB s new simulation software for large turbocharged combustion engines Turbo boost ACTUS is ABB s new simulation software for large turbocharged combustion engines THOMAS BÖHME, ROMAN MÖLLER, HERVÉ MARTIN The performance of turbocharged combustion engines depends heavily

More information

Unit 9: Static & Dynamic Scheduling

Unit 9: Static & Dynamic Scheduling CIS 501: Computer Architecture Unit 9: Static & Dynamic Scheduling Slides originally developed by Drew Hilton, Amir Roth and Milo Mar;n at University of Pennsylvania CIS 501: Comp. Arch. Prof. Milo Martin

More information

A Novel DC-DC Converter Based Integration of Renewable Energy Sources for Residential Micro Grid Applications

A Novel DC-DC Converter Based Integration of Renewable Energy Sources for Residential Micro Grid Applications A Novel DC-DC Converter Based Integration of Renewable Energy Sources for Residential Micro Grid Applications Madasamy P 1, Ramadas K 2 Assistant Professor, Department of Electrical and Electronics Engineering,

More information

Effect of driving pattern parameters on fuel-economy for conventional and hybrid electric city buses

Effect of driving pattern parameters on fuel-economy for conventional and hybrid electric city buses EVS28 KINTEX, Korea, May 3-6, 2015 Effect of driving pattern parameters on fuel-economy for conventional and hybrid electric city buses Ming CHI 1, Hewu WANG 1, Minggao OUYANG 1 1 Author 1 State Key Laboratory

More information

Detection of Braking Intention in Diverse Situations during Simulated Driving based on EEG Feature Combination: Supplement

Detection of Braking Intention in Diverse Situations during Simulated Driving based on EEG Feature Combination: Supplement Detection of Braking Intention in Diverse Situations during Simulated Driving based on EEG Feature Combination: Supplement Il-Hwa Kim, Jeong-Woo Kim, Stefan Haufe, and Seong-Whan Lee Detection of Braking

More information

REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION

REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION Final Report 2001-06 August 30, 2001 REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION Bureau of Automotive Repair Engineering and Research Branch INTRODUCTION Several

More information

Design & Development of Regenerative Braking System at Rear Axle

Design & Development of Regenerative Braking System at Rear Axle International Journal of Advanced Mechanical Engineering. ISSN 2250-3234 Volume 8, Number 2 (2018), pp. 165-172 Research India Publications http://www.ripublication.com Design & Development of Regenerative

More information

Benefits of greener trucks and buses

Benefits of greener trucks and buses Rolling Smokestacks: Cleaning Up America s Trucks and Buses 31 C H A P T E R 4 Benefits of greener trucks and buses The truck market today is extremely diverse, ranging from garbage trucks that may travel

More information

A Practical Guide to Free Energy Devices

A Practical Guide to Free Energy Devices A Practical Guide to Free Energy Devices Part PatD20: Last updated: 26th September 2006 Author: Patrick J. Kelly This patent covers a device which is claimed to have a greater output power than the input

More information

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) 1 T H E A C M I E E E I N T E R N A T I O N A L S Y M P O S I U M O N C O M P U T E R A R C H I T E C T U R E ( I S C A

More information

Code Scheduling & Limitations

Code Scheduling & Limitations This Unit: Static & Dynamic Scheduling CIS 371 Computer Organization and Design Unit 11: Static and Dynamic Scheduling App App App System software Mem CPU I/O Code scheduling To reduce pipeline stalls

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 CONSERVATION OF ENERGY Conservation of electrical energy is a vital area, which is being regarded as one of the global objectives. Along with economic scheduling in generation

More information

COMPARISON OF ENERGY EFFICIENCY DETERMINATION METHODS FOR THE INDUCTION MOTORS

COMPARISON OF ENERGY EFFICIENCY DETERMINATION METHODS FOR THE INDUCTION MOTORS COMPARISON OF ENERGY EFFICIENCY DETERMINATION METHODS FOR THE INDUCTION MOTORS Bator Tsybikov 1, Evgeniy Beyerleyn 1, *, and Polina Tyuteva 1 1 Tomsk Polytechnic University, 634050, Tomsk, Russia Abstract.

More information

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER

DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER Australasian Universities Power Engineering Conference (AUPEC 2004) 26-29 September 2004, Brisbane, Australia DESIGN OF HIGH ENERGY LITHIUM-ION BATTERY CHARGER M.F.M. Elias*, A.K. Arof**, K.M. Nor* *Department

More information

Supervised Learning to Predict Human Driver Merging Behavior

Supervised Learning to Predict Human Driver Merging Behavior Supervised Learning to Predict Human Driver Merging Behavior Derek Phillips, Alexander Lin {djp42, alin719}@stanford.edu June 7, 2016 Abstract This paper uses the supervised learning techniques of linear

More information

Investigation in to the Application of PLS in MPC Schemes

Investigation in to the Application of PLS in MPC Schemes Ian David Lockhart Bogle and Michael Fairweather (Editors), Proceedings of the 22nd European Symposium on Computer Aided Process Engineering, 17-20 June 2012, London. 2012 Elsevier B.V. All rights reserved

More information

SPE MS. Abstract

SPE MS. Abstract SPE-179088-MS Optimizing Bridge Plug Milling Efficiency Utilizing Weight-On-Bit to Control Debris Size: A Comparative Study of the Debris Size vs Weight-On-Bit Utilizing Five Bladed Carbide Mill, Tri-Cone

More information

Topics on Compilers. Introduction to CGRA

Topics on Compilers. Introduction to CGRA 4541.775 Topics on Compilers Introduction to CGRA Spring 2011 Reconfigurable Architectures reconfigurable hardware (reconfigware) implement specific hardware structures dynamically and on demand high performance

More information

Project Summary Fuzzy Logic Control of Electric Motors and Motor Drives: Feasibility Study

Project Summary Fuzzy Logic Control of Electric Motors and Motor Drives: Feasibility Study EPA United States Air and Energy Engineering Environmental Protection Research Laboratory Agency Research Triangle Park, NC 277 Research and Development EPA/600/SR-95/75 April 996 Project Summary Fuzzy

More information

Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis

Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis Netra Pd. Gyawali*, Nava Raj Karki, Dipesh Shrestha,

More information

Introduction to hmtechnology

Introduction to hmtechnology Introduction to hmtechnology Today's motion applications are requiring more precise control of both speed and position. The requirement for more complex move profiles is leading to a change from pneumatic

More information

Cost Benefit Analysis of Faster Transmission System Protection Systems

Cost Benefit Analysis of Faster Transmission System Protection Systems Cost Benefit Analysis of Faster Transmission System Protection Systems Presented at the 71st Annual Conference for Protective Engineers Brian Ehsani, Black & Veatch Jason Hulme, Black & Veatch Abstract

More information

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation

DAT105: Computer Architecture Study Period 2, 2009 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Study Period 2, 29 Exercise 2 Chapter 2: Instruction-Level Parallelism and Its Exploitation Mafijul Islam Department of Computer Science and Engineering November 12, 29 Study Period 2, 29 Goals: To understand

More information

Spatial and Temporal Analysis of Real-World Empirical Fuel Use and Emissions

Spatial and Temporal Analysis of Real-World Empirical Fuel Use and Emissions Spatial and Temporal Analysis of Real-World Empirical Fuel Use and Emissions Extended Abstract 27-A-285-AWMA H. Christopher Frey, Kaishan Zhang Department of Civil, Construction and Environmental Engineering,

More information

SHAFT ALIGNMENT: Where do I start, and what is the benefit?

SHAFT ALIGNMENT: Where do I start, and what is the benefit? SHAFT ALIGNMENT: Where do I start, and what is the benefit? Why precision alignment? Reduce your energy consumption Fewer failures of seals, couplings and bearings Lower temperatures of bearings and coupling

More information

Background and Considerations for Planning Corridor Charging Marcy Rood, Argonne National Laboratory

Background and Considerations for Planning Corridor Charging Marcy Rood, Argonne National Laboratory Background and Considerations for Planning Corridor Charging Marcy Rood, Argonne National Laboratory This document summarizes background of electric vehicle charging technologies, as well as key information

More information

STRYKER VEHICLE ADVANCED PROPULSION WITH ONBOARD POWER

STRYKER VEHICLE ADVANCED PROPULSION WITH ONBOARD POWER 2018 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM POWER & MOBILITY (P&M) TECHNICAL SESSION AUGUST 7-9, 2018 - NOVI, MICHIGAN STRYKER VEHICLE ADVANCED PROPULSION WITH ONBOARD POWER Kevin

More information

Effect of driving patterns on fuel-economy for diesel and hybrid electric city buses

Effect of driving patterns on fuel-economy for diesel and hybrid electric city buses EVS28 KINTEX, Korea, May 3-6, 2015 Effect of driving patterns on fuel-economy for diesel and hybrid electric city buses Ming CHI, Hewu WANG 1, Minggao OUYANG State Key Laboratory of Automotive Safety and

More information

Development of Seamless Shift for Formula One Car

Development of Seamless Shift for Formula One Car Development of Seamless Shift for Formula One Car Takashi YOSHIOKA* Katsumi KUBO* Takeshi UCHIYAMA* Ryo MATSUI* ABSTRACT Honda focused on gearbox development during its third Formula One era. The reduction

More information

Derivative Valuation and GASB 53 Compliance Report For the Period Ending September 30, 2015

Derivative Valuation and GASB 53 Compliance Report For the Period Ending September 30, 2015 Derivative Valuation and GASB 53 Compliance Report For the Period Ending September 30, 2015 Prepared On Behalf Of Broward County, Florida October 9, 2015 BLX Group LLC 777 S. Figueroa Street, Suite 3200

More information

DESIGN OF A NEW ELECTROMAGNETIC VALVE WITH A HYBRID PM/EM ACTUATOR IN SI ENGINES

DESIGN OF A NEW ELECTROMAGNETIC VALVE WITH A HYBRID PM/EM ACTUATOR IN SI ENGINES Journal of Marine cience and Technology, Vol. 22, o. 6, pp. 687-693 (214) 687 DOI: 1.6119/JMT-14-321-4 DEIG OF A EW ELECTROMAGETIC VALVE WITH A HYBRID PM/EM ACTUATOR I I EGIE Ly Vinh Dat 1 and Yaojung

More information

Respecting the Rules Better Road Safety Enforcement in the European Union. ACEA s Response

Respecting the Rules Better Road Safety Enforcement in the European Union. ACEA s Response Respecting the Rules Better Road Safety Enforcement in the European Union Commission s Consultation Paper of 6 November 2006 1 ACEA s Response December 2006 1. Introduction ACEA (European Automobile Manufacturers

More information

International Aluminium Institute

International Aluminium Institute THE INTERNATIONAL ALUMINIUM INSTITUTE S REPORT ON THE ALUMINIUM INDUSTRY S GLOBAL PERFLUOROCARBON GAS EMISSIONS REDUCTION PROGRAMME RESULTS OF THE 2003 ANODE EFFECT SURVEY 28 January 2005 Published by:

More information

Step Motor. Mechatronics Device Report Yisheng Zhang 04/02/03. What Is A Step Motor?

Step Motor. Mechatronics Device Report Yisheng Zhang 04/02/03. What Is A Step Motor? Step Motor What is a Step Motor? How Do They Work? Basic Types: Variable Reluctance, Permanent Magnet, Hybrid Where Are They Used? How Are They Controlled? How To Select A Step Motor and Driver Types of

More information

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide)

Out-of-order Pipeline. Register Read. OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Register Read When do instructions read the register file? Fetch Decode Rename Dispatch Buffer of instructions Issue Reg-read Execute Writeback Commit Option #: after select, right

More information

Asian paper mill increases control system utilization with ABB Advanced Services

Asian paper mill increases control system utilization with ABB Advanced Services Case Study Asian paper mill increases control system utilization with ABB Advanced Services A Southeast Asian paper mill has 13 paper machines, which creates significant production complexity. They have

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 MOTIVATION OF THE RESEARCH Electrical Machinery is more than 100 years old. While new types of machines have emerged recently (for example stepper motor, switched reluctance

More information

ANALYZING POWER LOSSES AND THEIR EFFECTS IN COMPLEX POWER SYSTEMS

ANALYZING POWER LOSSES AND THEIR EFFECTS IN COMPLEX POWER SYSTEMS ANALYZING OWR LOSSS AND THIR FFCTS IN COMLX OWR SYSTMS S. Stoll, U. Konigorski Institute of lectrical Information Technology, Clausthal University of Technology, Leibnizstr. 28, 38678 Clausthal-Zellerfeld,

More information

PQube 3 Modbus Interface

PQube 3 Modbus Interface PQube 3 Modbus Interface Reference manual Revision 1.9 Modbus Interface Reference Manual 1.9- Page 1 Table of Contents 1. Background... 3 2. Basics... 3 2.1 Registers and Coils... 3 2.2 Address Space...

More information

WHITE PAPER. Preventing Collisions and Reducing Fleet Costs While Using the Zendrive Dashboard

WHITE PAPER. Preventing Collisions and Reducing Fleet Costs While Using the Zendrive Dashboard WHITE PAPER Preventing Collisions and Reducing Fleet Costs While Using the Zendrive Dashboard August 2017 Introduction The term accident, even in a collision sense, often has the connotation of being an

More information

Evaluation of Dynamic Weight Threshold Algorithm for WIM Operations using Simulation

Evaluation of Dynamic Weight Threshold Algorithm for WIM Operations using Simulation Evaluation of Dynamic Weight Threshold Algorithm for WIM Operations using Simulation Zhongren Gu and Lee D. Han Department of Civil & Environmental Engineering THE UNIVERSITY OF TENNESSEE ABSTRACT In the

More information

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY NATIONAL CERTIFICATE MODULE: UNIT SPECIFICATION GENERAL INFORMATION. -Module Number Session

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY NATIONAL CERTIFICATE MODULE: UNIT SPECIFICATION GENERAL INFORMATION. -Module Number Session -SQA- SCOTTISH QUALIFICATIONS AUTHORITY NATIONAL CERTIFICATE MODULE: UNIT SPECIFICATION GENERAL INFORMATION -Module Number- 2210034 -Session-1994-95 -Superclass- -Title- ZJ MOTOR VEHICLE INSPECTION: TACHOGRAPHS

More information

CFD on Cavitation around Marine Propellers with Energy-Saving Devices

CFD on Cavitation around Marine Propellers with Energy-Saving Devices 63 CFD on Cavitation around Marine Propellers with Energy-Saving Devices CHIHARU KAWAKITA *1 REIKO TAKASHIMA *2 KEI SATO *2 Mitsubishi Heavy Industries, Ltd. (MHI) has developed energy-saving devices that

More information

Predicting Solutions to the Optimal Power Flow Problem

Predicting Solutions to the Optimal Power Flow Problem Thomas Navidi Suvrat Bhooshan Aditya Garg Abstract Predicting Solutions to the Optimal Power Flow Problem This paper discusses an implementation of gradient boosting regression to predict the output of

More information

Minimizing Transmix With FuellCheck

Minimizing Transmix With FuellCheck Minimizing Transmix With FuellCheck What is Transmix? Those who have to deal with transmix best answer this question. Below are 2 different perspectives, the first from an engineering consulting firm,

More information

Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide Brayton Cycle

Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide Brayton Cycle The 6th International Supercritical CO2 Power Cycles Symposium March 27-29, 2018, Pittsburgh, Pennsylvania Effect of Compressor Inlet Temperature on Cycle Performance for a Supercritical Carbon Dioxide

More information