Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to

Size: px
Start display at page:

Download "Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to"

Transcription

1 Copyright 1996 IEEE. Published in the Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques, October , Boston, USA. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works, must be obtained from the IEEE. Contact: Manager, Copyrights and Permissions / IEEE Service Center / 445 Hoes Lane / P.O. Box 1331 / Piscataway, NJ , USA. Telephone: + Intl Improving Branch Prediction Accuracy by Reducing Pattern History Table Interference Po-Yung Chang Marius Evers Yale N. Patt Department of Electrical Engineering and Computer Science The University of Michigan Ann Arbor, Michigan Abstract Today's deeply pipelined, superscalar processors rely on accurate branch prediction in order to approach their performance potential. Branch mispredictions result in a ushing of the speculative information in the pipeline, thus limiting the amount of useful work that can be done. The 2-level branch predictors have been shown to achieve high prediction accuracy. However, it has also been shown that there isahighdegree ofpattern history table interference in 2-level branch predictors and that the interference generally has a negative eect on the prediction accuracy. This paper introduces a method for reducing the pattern history table interferencebydynamically identifying some easily predictable branches and inhibiting the pattern history table update for these branches. We show how this technique reduces pattern history table interference for two versions of the 2-level branch predictor and that this signicantly improves branch prediction accuracy for the SPEC 95 benchmarks. In particular, we eliminate up to 3% of the mispredictions for the gcc benchmark. 1 Introduction Branches can signicantly reduce the performance of high-performance processors. Speculative execution is a common solution to the branch problem. However, all speculative work beyond abranchmust be discarded if that branch is mispredicted. Therefore, a very accurate branch predictor is essential for achieving high performance on deeply pipelined, superscalar processors. Various prediction strategies, including 2-level branch predictors [5, 6, 7, 2], have been developed to improve branch prediction. Recent studies [4, 8] have shown that interference in the pattern history tables of these 2-level branch predictors can signicantly reduce their ability to make accurate predictions. Furthermore, it has been shown [1] that a signicant amount of branches in the dynamic execution stream tend to be mostly taken or mostly not-taken. The same study also showed the benets of separating these strongly biased branches from weakly biased branches based on proling information. Using static prediction on the strongly biased branches and dynamic prediction on the remaining branches resulted in lower contention in the pattern history table and thus more accurate predictions. Sechrest et al. [3] further classied the branches and showed that a signicant number of the biased branches are always taken and always nottaken. In this paper, we propose a new branch predictor that dynamically classies branches based on their history patterns. In order to reduce contention, this predictor does not update the pattern history table for easily predictable branches. A simple predictor is used to

2 handle these branches. To determine an eective classication of branches, we rst examine the frequency of regular recurring history patterns in branches because these branches are more likely to be easily predictable by simple predictors. Based on this information, we propose an implementation of the new predictor which dynamically detects easily predictable branches. It then predicts the easily predictable branches with a simple predictor while using a 2-level predictor for the other branches. This paper is organized into ve sections. Section 2 presents previous work. Section 3 determines a set of branches that can be easily identied and accurately predicted by a simple predictor. Section 4 introduces the new branch predictor and compares its performance to that of some previously proposed schemes. Section 5 provides some concluding remarks. 2 Previous Work To improve prediction accuracy, various branch prediction strategies have been studied. Yeh and Patt proposed the 2-level branch predictor which uses two levels of history to make branch predictions. The rst-level history records the outcomes of the most recently executed branches and the second-level history keeps track of the more likely direction of a branch when a particular pattern is encountered in the rst level history. The 2-level branch predictor uses one or more k-bit shift registers, called branch history registers, to record the branch outcomes of the most recent k branches. It uses one or more arrays of 2-bit saturating up-down counters, called Pattern History Tables, to keep track ofthe more-likely direction for branches. The lower bits of the branch address select the appropriate Pattern History Table(PHT) and the value in the branch history register (BHR) selects the appropriate 2-bit counter to use within that PHT. McFarling [2] introduced gshare, a variation of the global-history 2-level branch predictor whichxors the global branch history with the branch address to index into the PHT. Since the same global history patterns can occur for dierent branches during program execution, the global history pattern can be less ecient at identifying the current branch than the branch address itself. The gshare scheme tries to better identify the machine execution states by using both the branch address and the branch history. Recent studies [4, 8]have shown that PHT interference negatively aects the performance of 2-level branch predictors. Pattern history table interference occurs when a conditional branch references a PHT entry that was last referenced by another conditional branch. Talcott et al. [4] classied the interference as positive if the counter in the PHT entry correctly predicts the branch outcome. Otherwise, they classied the interference as negative. They showed that destructive interference causes branch prediction schemes to operate much below their potential performance level. Young et al. [8] classied interference as constructive if the counter correctly predicts the branch outcome and a predictor with an innite number of PHTs, thus having no PHT interference, mispredicts the outcome. They classied interference as destructive if the counter mispredicts while the predictor with an innite number of PHTs predicts correctly. Otherwise, they classied the interference as neutral. Young et al. also showed that although the branch interference can have a constructive, destructive, or neutral eect, destructive interference occurs much more frequently than constructive interference. Chang et al.[1] have proposed classifying branches to improve branch prediction. Branch classication partitions a program's branches into branch classes. Prediction accuracy is increased by associating each branch class with the most suitable predictor for that class. By classifying branches based on their dynamic taken rates collected by proling, a predictor which uses static prediction on the strongly biased branches and a dynamic prediction on weakly biased branches achieved improved performance. In this paper, we alsoimprove the performance of branch predictors by reducing the amount of destructive interference. The new classication method we propose dynamically separates the branches. 3 Characterization of Branch Behavior Dynamic predictors use past branch history to identify recurring execution patterns in order to make accurate prediction. In order to capture the behaviors of branches with complex recurring patterns, a lot of branch history information may have to be examined. For this reason, a sophisticated predictor, such as the 2-level branch predictor, which examines more branch history information, is able to detect more branch execution patterns and thus outperforms simpler predictors. On the other hand, even a less sophisticated predictor should be able to capture the dynamic behaviors of branches with simple recurring patterns. Thus, we propose to reduce the amount of PHT interference by using a simple predictor for predicting these easily predictable branches and inhibiting the pattern history table update for these branches. In this section, we will try to determine a set of

3 #ofcond Benchmark Input # of Instrs Branches compress test.in 1 13,15,25 1,661,855 gcc jump.i 154,45,36 25,93,86 go 2stone9.in 2 125,637,6 17,925,171 ijpeg specmun.ppm 3 26,82,135 2,441,37 perl scrabbl.pl 4 78,148,849 1,57,887 xlisp train.lsp 187,727,922 26,422,387 Table 1. Summary of six SPECint95 Benchmarks along with the input data sets. Frequency of Occurence (%) branches that can be easily identied and accurately predicted by simple predictors. 3.1 Experimental Model Pattern Length The experimental results presented in this paper were measured by trace-driven simulations using an instruction level simulator. These results are for six SPECint95 benchmarks. Each benchmark was simulated until completion. For each benchmark, Table 1 lists the input data set that was used and the exact number of instructions and conditional branches simulated. 3.2 Frequency of Recurring Patterns A branch may be easily predictable if it follows a regular repeating pattern of execution. In this section, we will measure how often these repeating execution patterns occur. A branch is said to have a repeating pattern of length k if the branch's outcome on the ith time, d i, is identical to the outcome on the (i + k)th time, d i+k. Furthermore, <d i d i+1 ::: d i+k > must be the shortest repeating pattern i.e. there can not be any repeating pattern within < d i :::d i+k >. For example, a branch that is always taken or always not-taken will have a repeating pattern of length 1. A branch that is taken every other time has a repeating pattern of length 2. To measure how often repeating execution patterns occur, we record the history of each branch in the 1 Abbreviated version of the SPECint reference input set bigtest.in. The initial list consists of 3 elements. 2 Abbreviated version of the SPECint training input set 2stone9.in using 19 levels instead of 5 levels. 3 Abbreviated version of the SPECint test input set specmun.ppm where the compression quality is 5 instead of 9. 4 Abbreviated version of the SPECint reference input set. Figure 1. Frequency of Pattern (1K entry BTB) branch target buer(btb). In this experiment, we use a 16-bit branch history register to holds the outcomes of the 16 most recent occurrences of the branch. A pattern is detected only if it repeats for the entire length of the history register. In addition, the pattern must repeat at least once in the history register i.e. a pattern in the 16-bit branch history register can be of at most length 8. Figure 1 and 2 show what fraction of the time patterns of dierent lengths were detected when using a 124 and 8192 entry BTB respectively. The 8192 entry BTB was used to approximate an innite size BTB 5. With the 8192 entry BTB, a repeating pattern of length one was detected for approximately 55 percent of the branches in the instruction stream, indicating that over half of the PHT accesses can be avoided if we inhibit updates to the PHT for these branches. With a smaller 124 entry BTB, fewer repeating patterns were detected because of more BTB misses. That is, when an entry is displaced from the BTB, the associated branch history is lost. This branch must occur 16 more times before its history register is lled with enough information for detecting repeating patterns. However, even with the smaller 1K entry BTB, which we will use for the remaining experiments in the paper, a repeating pattern length of one was still detected over 5 percent of the time. 5 For the SPEC95 integer benchmarks with the exception of gcc, only an insignicant amount of BTB misses occurred when the 8192 entry BTB was used.

4 Fraction of Occurences (%) Pattern Length Figure 2. Frequency of Pattern (8K entry BTB) Pattern Length 3.3 Accuracy of Static PHT Inhibiting PHT updates for certain branches can improve performance by reducing the negative eects of PHT interference. However, predicting these branches using simpler predictors may result in lower accuracy. Thus, to achieve higher performance, the disadvantage of having less accurate predictions for these branches must not outweigh the benets of reducing the PHT interference. For branches that have a recurring pattern, we expect the next outcome of the branch to be an extension of this pattern. Since the PSg(algo) [3] works on this premise, we expect it to perform well for branches with repeating patterns and apply it to these branches. Thus, we evaluate its performance. Figure 3 and 4 show the performance of PSg(algo) with a 124 and a 8192 entry BTB respectively. Branches with short repeating patterns were accurately predicted, indicating it could be advantageous to predict them with a simple predictor and inhibit the PHT update. 4 Predictor Model and Results Researchers have shown that interference in the pattern history tables can signicantly degrade the performance of 2-level branch predictors. In this section, we will rst present a ltering mechanism which will allow us to reduce the amount ofinterference in 2-level branch predictors. We will then present the perfor- Figure 3. PSg Performance with 1K entry BTB Pattern Length Figure 4. PSg Performance with 8K entry BTB

5 Global History (gshare) Pattern History Table Per-Address History Pattern History Tables Branch Target Buffer Branch History Register Count Direction Branch History Register Program Counter XOR Program Counter Prediction Prediction Figure 5. Structure of a Global History Branch Predictor. Although this gure only shows the gshare implementation, a similar structure can be implemented for all global history variations of the 2-Level Branch Predictor. mance benets of the ltering mechanism when applied to existing branch predictors. 4.1 Predictor Model As shown in section 3.2, branches with a repeating history pattern of length one occur frequently in the dynamic instruction stream. Section 3.3 also showed that these branches can be accurately predicted bya PSg predictor. In addition, a signicant amount of branch interference may be removed if the PHTs are not updated for these branches, improving the predictor's performance on the remaining branches. Therefore, to improve predictor performance, we propose the addition of a ltering mechanism which will dynamically separate always taken and always not-taken branches from the other branches the appropriate operations can then be applied to each branch based on its classication. The ltering mechanism is implemented in slightly dierent ways for per-address and global variations of the 2-level branch predictor. We needtobeableto dynamically identify branches with repeating patterns of length one. To do this for the global variations of the 2-level branch predictor, we propose the addition of a direction bit and a counter to each branch target buer entry. Figure 5 shows the structure of the gshare scheme with the ltering mechanism. The ltering mechanism works in the following way: If a BTB miss occurs, the default predictor is used Figure 6. Structure of a Per-Address History Branch Predictor for predicting this branch. For example, for the global history scheme, the PHT entry indexed by the global history register is used for predicting this branch. A new entry in the BTB is then allocated for this branch. The direction bit is initialized to taken and the counter is initialized to. If a BTB hit occurs, the value of the counter is examined. If the counter is not at its maximum value, the default predictor is used for predicting this branch and the PHT is updated as appropriate. If a BTB hit occurs and the value of the counter is at its maximum, the direction bit is then used for predicting this branch's direction and the PHT is not updated for this branch. When the branch is resolved, if the branch outcome matches the value in the direction bit, the counter is incremented. Otherwise, the direction bit is toggled to match the branch's new outcome and the counter is reset to. For the per-address variation of the 2-Level Branch Predictor, we already maintain the branch history of each branch for selection of the PHT entry, so the counters mentioned above are not needed. The branch history can be used to determine whether the outcomes of a branch are either always taken or always not-taken (as shown in Figure 6). 4.2 Experimental Results The performance of 2-level branch predictors is affected by the amount of pattern history table interfer-

6 ence. In this section, we will rst examine the PHT interference in two 2-level branch predictors. We will then study how dierent congurations of the ltering mechanism described in section 4.1 aect the amount of interference in 2-level branch predictors. Finally, we will present the performance impact of the ltering mechanism on 2-level branch predictors Pattern History Table Interference The amount of PHT interference in dierent 2-level branch predictors varies because of their dierent hashing schemes. Pattern history table interference occurs when a conditional branch references a PHT entry that was last referenced by another conditional branch. Tables 2 and 3 show the amount ofinterference for a per-address history variation (PAs) and a global history variation (gshare) of the 2-level branch predictor respectively. The tables also list the amount ofcon- structive and destructive interference [8] for each of the twoschemes. Interference is dened as constructive if the counter correctly predicts the branch outcome when a predictor with an innite number of PHTs, thus no PHT interference, mispredicts the outcome. If the counter mispredicts while the predictor with an innite number of PHTs predicts correctly, this interference is dened as destructive. Otherwise, the interference is neutral. Tables 2 and 3 show that the total amount of PHT interference for PAs is comparable to that for gshare. However, the amount of destructive interference for PAs is signicantly less than that for gshare. This is because the PAs scheme indexes into the PHT using the past branch history of the the same static branch. Thus, two static branches that hash into the same PHT have had the same past branch behavior and they are likely to have similar future behavior. Furthermore, most of the interference under PAs is due to strongly biased branches (e.g. always taken branches) because a large number of branches in the instruction stream are strongly biased. These branches tend to access the same PHT entries under the PAs scheme thus, most of the interference occurs at only a few PHT entries (as shown in Figure 7). Since the interference is due to strongly biased branches, most of the interference under PAs is neutral. On the other hand, there is a signicant amount of destructive interference for the gshare scheme. Branches whose past behavior are either all 's or all 1's may use various entries in the PHT because the gshare scheme selects the PHT entry by XORing the global branch history with the branch address. Due to this hashing scheme, branches that share the same PHT Figure 7. This gure shows the amount of interference ineach entry of a 8192 entry PHT for the PAs scheme when running gcc. The black squares represent counters with 66 cases of interference ormore (66 is the average amount of interference per entry). The white squares represent counters with 6 cases of interference or fewer. entries may not have similar past behavior, resulting in signicant amount of destructive interference. Unlike the PAs scheme, the interference for gshare is distributed across all PHT entries as shown in Figure 8. Since most of the interference due to strongly biased branches in a 2-level per-address predictor is neutral, removing these interference with the ltering mechanism has little eect on this predictor as shown in Figure 9. On the other hand, our experiments on the global history variations of the 2-level branch predictor showed that the ltering mechanism is eective for the GAs scheme, as shown in Figure 1. Futhermore, the performance benet of the ltering mechanism is greater for ghsare than GAs because the amount ofin- terference under the GAs scheme is less than that of the gshare scheme. For example, the ltering mechanism reduces the misprediction rate of a 2Kbyte GAs and a 2Kbyte gshare by 13% and 14.4% respectively. For the following studies, we will examine the performance impact of the ltering mechanism on the gshare scheme only Filtering Mechanism Congurations The performance of the ltering mechanism depends on the number of past history bits used for detecting regularly repeating patterns. Figure 11 shows the performance of the ltering mechanism using dierent number of branch history

7 predictor size 2KB 4KB 8KB Amount of PHT interference benchmark total constr. destr. total constr. destr. total constr. destr. compress gcc go ijpeg li perl Table 2. PHT interference for PAs predictor size 2KB 4KB 8KB Amount of PHT interference benchmark total const. destr. total const. destr. total const. destr. compress gcc go ijpeg li perl Table 3. PHT interference for gshare Figure 8. This gure shows the amount of interference ineach entry of a 8192 entry PHT for the gshare scheme when running gcc. The black squares represent counters with 325 cases of interference ormore (325 is the average amount of interference per entry). The white squares represent counters with 32 cases of interference or fewer..2 PAs PAs w/ filter. 2K 4K 8K 16K Branch Predictor Size (bytes) Figure 9. Performance of Per-address 2-Level Branch Predictors

8 bits 8 bits 16 bits com gcc go ijpeg li perl Figure 11. Performance vs Branch History Length GAs GAs w/ filter. 2K 4K 8K 16K Branch Predictor Size (bytes) Figure 1. Performance of GAs 2-Level Branch Predictors bits for detecting repeating patterns of 1's and 's. The predictor used in this experiment is a 2 KByte gshare with a 1K entry BTB. Figure 11 shows that for the gcc and go benchmarks, the performance of the ltering mechanism improves when using fewer branch history bits. Basing its decision on fewer history bits, the ltering mechanism will detect regularly repeating patterns for more branches. For example, with a loop that always executes for 1 iterations, the history pattern of the loop-ending branch would be a repeating pattern of Detecting patterns using 4 history bits, the ltering mechanism will use the BTB direction bit to predict this branch 6 out of 1 occurrences. Detecting patterns using 8 bits, the ltering mechanism will use the BTB direction bit only 2% of the time. Thus, basing its decision on fewer past history bits, the ltering mechanism will classify more branches as easily predictable and use the BTB direction bit for their predictions. Not updating the PHT for these occurrences reduces the amount of PHT interference in gshare. On the other hand, for the other benchmarks, the performance of the predictor improves as the number of history bits used by the ltering mechanism increases. Using more history bits will prevent the ltering mechanism from prematurely predicting a branch using the BTB direction bit instead of the more accurate dynamic predictor. Since there is only a small amount of PHT interference for these benchmarks, the benet of choosing the more accurate predictor outweighs the benet of reducing PHT interference Predictor Performance Branches with a repeating pattern length of one occur frequently as shown in Section 3.2, so ltering away these branches from the PHT can signicantly reduce

9 bC PAs Gshare GAs Gshare w/ filter. 2K 4K 8K 16K Branch Predictor Size (bytes) Figure 12. Performance of Branch Predictors the amount ofinterference. Table 4 shows the amount of PHT interference after ltering out these branches. The reduction in the amount ofphtinterference after ltering depends on the particular benchmark, from a maximum of 93.7% for the li benchmark to a minimum of 22.7% for the go benchmark (cf. Table 3). For this experiment, we use the outcomes of the last 8 occurrences of a branch for our ltering mechanism. The branch target buer has 1K entries and is 4-way set associative. Figure 12 shows the performance of gshare with and without the ltering mechanism. For illustration purposes, we also show the performance of three other dynamic branch predictors: GAs, PAs, and 2bC. Figure 13 shows that for the gcc benchmark, where we saw a large amount of destructive interference, the ltering mechanism is able to signicantly improve the performance of gshare. At lower implementation costs where a larger amount of PHT interference occurs, our scheme isable to remove more interference and thus achieve higher performance. For larger predictors where the eect of PHT interference is less signicant, the results of the two predictors are closer. Figure 14 shows the performance of the 2 KByte gshare schemes on the individual benchmarks. We present the results for the 2 KByte instead of the larger predictors because this predictor with its smaller implementation cost is more likely to be included in hybrid branch predictors. The ltering mechanism is able to improve the performance of these benchmarks, with the exception of compress. For the compress benchmark, since there is only a small amount of PHT in Gshare Gshare w/ filter. 2K 4K 8K 16K Branch Predictor Size (bytes) Figure 13. Performance of the gshare on gcc.3 gshare gshare w/ filter.2. com gcc go ijpeg li perl Figure 14. Performance of the 2 KByte gshare

10 predictor size 2KB 4KB 8KB Amount of PHT interference benchmarks total constr. destr. total constr. destr. total constr. destr. compress gcc go ijpeg li perl Table 4. PHT interference for gshare when PHT is not updated for branches whose per-address branch histories are either always taken or always not-taken terference, the benet of choosing the more accurate predictor outweighs the benet of reducing PHT interference. Thus, using the ltering mechanism which uses 8 history bits for detection slightly reduces prediction accuarcy. However, as shown in section 4.2.2, the performance of gshare can still be improved with a ltering mechanism by using more history bits, such as 16. For benchmarks, such as gcc, that have a large number of static branches in the dynamic instruction stream, a large amount of PHT interference occurs (see Table 3). The ltering mechanism was able to remove a signicant amount of the destructive interference (see Table 4), reducing the number of mispredictions by 3% for the gcc benchmark when using gshare. 5 Conclusions In this paper, we have introduced a method of reducing pattern history table interference by dynamically classifying branches as strongly biased or mixeddirectional. Our experiments showed that about half of the branches in the dynamic instruction stream are strongly biased, and can therefore be handled with a simple predictor. Inhibiting the update to the pattern history table for the strongly biased branches, we were able to get a considerable decrease in the amount of PHT interference with a resulting improvement in the accuracy of the gshare two-level branch predictor. For six of the SPECint95 benchmarks, we achieved an average 14% reduction of mispredictions for a 2 KByte gshare predictor. For the gcc benchmark which executes a large number of static branches, the ltering mechanism was able to remove a signicant amount of the destructive interference. We therefore reduce the number of mispredictions by 23% to 3% for the gshare predictor. 6 Acknowledgments We gratefully acknowledge the support of our industrial partners, without which it would not have been possible to undertake this work, in particular Intel Corporation and NCR Corporation. References [1] P.-Y. Chang, E. Hao, T.-Y. Yeh, and Y. N. Patt. Branch classication: A new mechanism for improving branch predictor performance. In Proceedings of the 27th Annual ACM/IEEE International Symposium on Microarchitecture, pages 22{31, a version of this paper has been accepted for publication in a special issue of International Journal on Parallel Programming. [2] S. McFarling. Combining branch predictors. Technical Report TN-36, Digital Western Research Laboratory, June [3] S. Sechrest, C.-C. Lee, and T. Mudge. The role of adaptivity intwo-level adaptive branch prediction. In Proceedings of the 28th Annual ACM/IEEE International Symposium on Microarchitecture, [4] A. R. Talcott, M. Nemirovsky, and R. C. Wood. The inuence of branch prediction table interference on branch prediction scheme performance. In International Conference onparallel Architectures and Compilation Techniques, [5] T.-Y. Yeh and Y. N. Patt. Two-level adaptive branch prediction. In Proceedings of the 24th Annual ACM/IEEE International Symposium on Microarchitecture, pages 51{61, [6] T.-Y. Yeh and Y. N. Patt. Alternative implementations of two-level adaptive branch prediction. In Proceedings of the 19th Annual International Symposium on Computer Architecture, pages 124{134, [7] T.-Y. Yeh and Y. N. Patt. A comparison of dynamic branch predictors that use twolevels of branch history. In Proceedings of the 2th Annual International Symposium on Computer Architecture, pages 257{266, 1993.

11 [8] C. Young, N. Gloy, and M. D. Smith. A comparative analysis of schemes for correlated branch prediction. In Proceedings of the 22st Annual International Symposium on Computer Architecture, pages 276{286, 1995.

Hybrid Myths in Branch Prediction

Hybrid Myths in Branch Prediction Hybrid Myths in Branch Prediction A. N. Eden, J. Ringenberg, S. Sparrow, and T. Mudge {ane, jringenb, ssparrow, tnm}@eecs.umich.edu Dept. EECS, University of Michigan, Ann Arbor Abstract Since the introduction

More information

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution

Advanced Superscalar Architectures. Speculative and Out-of-Order Execution 6.823, L16--1 Advanced Superscalar Architectures Asanovic Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 Speculative and Out-of-Order Execution Branch Prediction kill kill Branch

More information

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance Alloyed Branch History: Combining Global and Local Branch History for Robust Performance UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-22-21 Zhijian Lu, John Lach, Mircea R. Stan, Kevin Skadron

More information

The B&Mode Branch Predictor

The B&Mode Branch Predictor The B&Mode Branch Predictor Chih-Chieh Lee, I-Cheng K. Chen, and Trevor N. Mudge EECS Department, University of Michigan 1301 Beal Ave., Ann Arbor, Michigan 48109-2122 {leecc, icheng, tnm} @eecs.umich.edu

More information

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)

High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP) 1 T H E A C M I E E E I N T E R N A T I O N A L S Y M P O S I U M O N C O M P U T E R A R C H I T E C T U R E ( I S C A

More information

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University

Computer Architecture: Out-of-Order Execution. Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Computer Architecture: Out-of-Order Execution Prof. Onur Mutlu (editted by Seth) Carnegie Mellon University Reading for Today Smith and Sohi, The Microarchitecture of Superscalar Processors, Proceedings

More information

Parallelism I: Inside the Core

Parallelism I: Inside the Core Parallelism I: Inside the Core 1 The final Comprehensive Same general format as the Midterm. Review the homeworks, the slides, and the quizzes. 2 Key Points What is wide issue mean? How does does it affect

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM

INTELLIGENT ENERGY MANAGEMENT IN A TWO POWER-BUS VEHICLE SYSTEM 2011 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM MODELING & SIMULATION, TESTING AND VALIDATION (MSTV) MINI-SYMPOSIUM AUGUST 9-11 DEARBORN, MICHIGAN INTELLIGENT ENERGY MANAGEMENT IN

More information

Improving Memory System Performance with Energy-Efficient Value Speculation

Improving Memory System Performance with Energy-Efficient Value Speculation Improving Memory System Performance with Energy-Efficient Value Speculation Nana B. Sam and Min Burtscher Computer Systems Laboratory Cornell University Ithaca, NY 14853 {besema, burtscher}@csl.cornell.edu

More information

DC Voltmeters and Ammeters *

DC Voltmeters and Ammeters * OpenStax-CNX module: m55368 1 DC Voltmeters and Ammeters * OpenStax This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 4.0 1 Learning Objectives By the end

More information

Adaptive Power Flow Method for Distribution Systems With Dispersed Generation

Adaptive Power Flow Method for Distribution Systems With Dispersed Generation 822 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 17, NO. 3, JULY 2002 Adaptive Power Flow Method for Distribution Systems With Dispersed Generation Y. Zhu and K. Tomsovic Abstract Recently, there has been

More information

ARC-H: Adaptive replacement cache management for heterogeneous storage devices

ARC-H: Adaptive replacement cache management for heterogeneous storage devices Journal of Systems Architecture 58 (2012) ARC-H: Adaptive replacement cache management for heterogeneous storage devices Young-Jin Kim, Division of Electrical and Computer Engineering, Ajou University,

More information

HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS

HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS 2013 NDIA GROUND VEHICLE SYSTEMS ENGINEERING AND TECHNOLOGY SYMPOSIUM POWER AND MOBILITY (P&M) MINI-SYMPOSIUM AUGUST 21-22, 2013 TROY, MICHIGAN HIGH VOLTAGE vs. LOW VOLTAGE: POTENTIAL IN MILITARY SYSTEMS

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Investigation in to the Application of PLS in MPC Schemes

Investigation in to the Application of PLS in MPC Schemes Ian David Lockhart Bogle and Michael Fairweather (Editors), Proceedings of the 22nd European Symposium on Computer Aided Process Engineering, 17-20 June 2012, London. 2012 Elsevier B.V. All rights reserved

More information

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries

A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries R1-6 SASIMI 2015 Proceedings A Battery Smart Sensor and Its SOC Estimation Function for Assembled Lithium-Ion Batteries Naoki Kawarabayashi, Lei Lin, Ryu Ishizaki and Masahiro Fukui Graduate School of

More information

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches

Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Se-Hyun Yang and Babak Falsafi Computer Architecture Laboratory (CALCM) Carnegie Mellon University {sehyun, babak}@cmu.edu http://www.ece.cmu.edu/~powertap

More information

Fully Regenerative braking and Improved Acceleration for Electrical Vehicles

Fully Regenerative braking and Improved Acceleration for Electrical Vehicles Fully Regenerative braking and Improved Acceleration for Electrical Vehicles Wim J.C. Melis, Owais Chishty School of Engineering, University of Greenwich United Kingdom Abstract Generally, car brake systems

More information

Steering Actuator for Autonomous Driving and Platooning *1

Steering Actuator for Autonomous Driving and Platooning *1 TECHNICAL PAPER Steering Actuator for Autonomous Driving and Platooning *1 A. ISHIHARA Y. KUROUMARU M. NAKA The New Energy and Industrial Technology Development Organization (NEDO) is running a "Development

More information

PVP Field Calibration and Accuracy of Torque Wrenches. Proceedings of ASME PVP ASME Pressure Vessel and Piping Conference PVP2011-

PVP Field Calibration and Accuracy of Torque Wrenches. Proceedings of ASME PVP ASME Pressure Vessel and Piping Conference PVP2011- Proceedings of ASME PVP2011 2011 ASME Pressure Vessel and Piping Conference Proceedings of the ASME 2011 Pressure Vessels July 17-21, & Piping 2011, Division Baltimore, Conference Maryland PVP2011 July

More information

Optimizing Battery Accuracy for EVs and HEVs

Optimizing Battery Accuracy for EVs and HEVs Optimizing Battery Accuracy for EVs and HEVs Introduction Automotive battery management system (BMS) technology has advanced considerably over the last decade. Today, several multi-cell balancing (MCB)

More information

Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold

Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold Use of Flow Network Modeling for the Design of an Intricate Cooling Manifold Neeta Verma Teradyne, Inc. 880 Fox Lane San Jose, CA 94086 neeta.verma@teradyne.com ABSTRACT The automatic test equipment designed

More information

REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION

REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION Final Report 2001-06 August 30, 2001 REMOTE SENSING DEVICE HIGH EMITTER IDENTIFICATION WITH CONFIRMATORY ROADSIDE INSPECTION Bureau of Automotive Repair Engineering and Research Branch INTRODUCTION Several

More information

Data envelopment analysis with missing values: an approach using neural network

Data envelopment analysis with missing values: an approach using neural network IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.2, February 2017 29 Data envelopment analysis with missing values: an approach using neural network B. Dalvand, F. Hosseinzadeh

More information

Development of Emission Control Technology to Reduce Levels of NO x and Fuel Consumption in Marine Diesel Engines

Development of Emission Control Technology to Reduce Levels of NO x and Fuel Consumption in Marine Diesel Engines Vol. 44 No. 1 211 Development of Emission Control Technology to Reduce Levels of NO x and Fuel Consumption in Marine Diesel Engines TAGAI Tetsuya : Doctor of Engineering, Research and Development, Engineering

More information

VOLTAGE STABILITY CONSTRAINED ATC COMPUTATIONS IN DEREGULATED POWER SYSTEM USING NOVEL TECHNIQUE

VOLTAGE STABILITY CONSTRAINED ATC COMPUTATIONS IN DEREGULATED POWER SYSTEM USING NOVEL TECHNIQUE VOLTAGE STABILITY CONSTRAINED ATC COMPUTATIONS IN DEREGULATED POWER SYSTEM USING NOVEL TECHNIQUE P. Gopi Krishna 1 and T. Gowri Manohar 2 1 Department of Electrical and Electronics Engineering, Narayana

More information

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE

GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER AFR CONTROL IN A MULTICYLINDER S.I. ENGINE 1 GT-Suite Users International Conference Frankfurt a.m., October 30 th 2000 GT-POWER/SIMULINK SIMULATION AS A TOOL TO IMPROVE INDIVIDUAL CYLINDER CONTROL IN A MULTICYLINDER S.I. ENGINE F. MILLO, G. DE

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Field Verification and Data Analysis of High PV Penetration Impacts on Distribution Systems

Field Verification and Data Analysis of High PV Penetration Impacts on Distribution Systems Field Verification and Data Analysis of High PV Penetration Impacts on Distribution Systems Farid Katiraei *, Barry Mather **, Ahmadreza Momeni *, Li Yu *, and Gerardo Sanchez * * Quanta Technology, Raleigh,

More information

INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 5, No 2, 2014

INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 5, No 2, 2014 INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 5, No 2, 2014 Copyright by the authors - Licensee IPA- Under Creative Commons license 3.0 Research article ISSN 0976 4399 The impacts of

More information

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose

SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING. Oliver Rose Proceedings of the 22 Winter Simulation Conference E. Yücesan, C.-H. Chen, J. L. Snowdon, and J. M. Charnes, eds. SOME ISSUES OF THE CRITICAL RATIO DISPATCH RULE IN SEMICONDUCTOR MANUFACTURING Oliver Rose

More information

CIS 662: Sample midterm w solutions

CIS 662: Sample midterm w solutions CIS 662: Sample midterm w solutions 1. (40 points) A processor has the following stages in its pipeline: IF ID ALU1 MEM1 MEM2 ALU2 WB. ALU1 stage is used for effective address calculation for loads, stores

More information

Supervised Learning to Predict Human Driver Merging Behavior

Supervised Learning to Predict Human Driver Merging Behavior Supervised Learning to Predict Human Driver Merging Behavior Derek Phillips, Alexander Lin {djp42, alin719}@stanford.edu June 7, 2016 Abstract This paper uses the supervised learning techniques of linear

More information

Presented at the 2012 Aerospace Space Power Workshop Manhattan Beach, CA April 16-20, 2012

Presented at the 2012 Aerospace Space Power Workshop Manhattan Beach, CA April 16-20, 2012 Complex Modeling of LiIon Cells in Series and Batteries in Parallel within Satellite EPS Time Dependent Simulations Presented at the 2012 Aerospace Space Power Workshop Manhattan Beach, CA April 16-20,

More information

SPEED IN URBAN ENV VIORNMENTS IEEE CONFERENCE PAPER REVIW CSC 8251 ZHIBO WANG

SPEED IN URBAN ENV VIORNMENTS IEEE CONFERENCE PAPER REVIW CSC 8251 ZHIBO WANG SENSPEED: SENSING G DRIVING CONDITIONS TO ESTIMATE VEHICLE SPEED IN URBAN ENV VIORNMENTS IEEE CONFERENCE PAPER REVIW CSC 8251 ZHIBO WANG EXECUTIVE SUMMARY Brief Introduction of SenSpeed Basic Idea of Vehicle

More information

IMPROVED HIGH PERFORMANCE TRAYS

IMPROVED HIGH PERFORMANCE TRAYS Distillation Absorption 2010 A.B. de Haan, H. Kooijman and A. Górak (Editors) All rights reserved by authors as per DA2010 copyright notice IMPROVED HIGH PERFORMANCE TRAYS Stefan Hirsch 1 and Mark Pilling

More information

AABC Europe 2017 Mainz, Germany Dr. Jörn Albers, Dr. Christian Rosenkranz Johnson Controls Power Solutions EMEA. Johnson Controls Power Solutions EMEA

AABC Europe 2017 Mainz, Germany Dr. Jörn Albers, Dr. Christian Rosenkranz Johnson Controls Power Solutions EMEA. Johnson Controls Power Solutions EMEA Johnson Controls Power Solutions EMEA If you can read this Click on the icon to choose a picture or Reset the slide. To Reset: Right click on the slide thumbnail and select reset slide or choose the Reset

More information

NUMERICAL ANALYSIS OF IMPACT BETWEEN SHUNTING LOCOMOTIVE AND SELECTED ROAD VEHICLE

NUMERICAL ANALYSIS OF IMPACT BETWEEN SHUNTING LOCOMOTIVE AND SELECTED ROAD VEHICLE Journal of KONES Powertrain and Transport, Vol. 21, No. 4 2014 ISSN: 1231-4005 e-issn: 2354-0133 ICID: 1130437 DOI: 10.5604/12314005.1130437 NUMERICAL ANALYSIS OF IMPACT BETWEEN SHUNTING LOCOMOTIVE AND

More information

Decoupling Loads for Nano-Instruction Set Computers

Decoupling Loads for Nano-Instruction Set Computers Decoupling Loads for Nano-Instruction Set Computers Ziqiang (Patrick) Huang, Andrew Hilton, Benjamin Lee Duke University {ziqiang.huang, andrew.hilton, benjamin.c.lee}@duke.edu ISCA-43, June 21, 2016 1

More information

The New EMC Requirements of Electric Cars

The New EMC Requirements of Electric Cars The New EMC Requirements of Electric Cars Author : Michael Koffink and Roland Gubisch 05/24/2009 Refinements are needed for better correlation between chip-level or module-level measurements and whole

More information

Synthesis of Optimal Batch Distillation Sequences

Synthesis of Optimal Batch Distillation Sequences Presented at the World Batch Forum North American Conference Woodcliff Lake, NJ April 7-10, 2002 107 S. Southgate Drive Chandler, Arizona 85226-3222 480-893-8803 Fax 480-893-7775 E-mail: info@wbf.org www.wbf.org

More information

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements

How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements How Much Power Does your Server Consume? Estimating Wall Socket Power Using RAPL Measurements Kashif Nizam Khan Zhonghong Ou, Mikael Hirki, Jukka K. Nurminen, Tapio Niemi 1 Motivation The Large Hadron

More information

China Intelligent Connected Vehicle Technology Roadmap 1

China Intelligent Connected Vehicle Technology Roadmap 1 China Intelligent Connected Vehicle Technology Roadmap 1 Source: 1. China Automotive Engineering Institute, , Oct. 2016 1 Technology Roadmap 1 General

More information

Cost-Efficiency by Arash Method in DEA

Cost-Efficiency by Arash Method in DEA Applied Mathematical Sciences, Vol. 6, 2012, no. 104, 5179-5184 Cost-Efficiency by Arash Method in DEA Dariush Khezrimotlagh*, Zahra Mohsenpour and Shaharuddin Salleh Department of Mathematics, Faculty

More information

Influence of Cylinder Bore Volume on Pressure Pulsations in a Hermetic Reciprocating Compressor

Influence of Cylinder Bore Volume on Pressure Pulsations in a Hermetic Reciprocating Compressor Purdue University Purdue e-pubs International Compressor Engineering Conference School of Mechanical Engineering 2014 Influence of Cylinder Bore Volume on Pressure Pulsations in a Hermetic Reciprocating

More information

Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources

Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources Milano (Italy) August 28 - September 2, 211 Smart Operation for AC Distribution Infrastructure Involving Hybrid Renewable Energy Sources Ahmed A Mohamed, Mohamed A Elshaer and Osama A Mohammed Energy Systems

More information

Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis

Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis Battery-Ultracapacitor based Hybrid Energy System for Standalone power supply and Hybrid Electric Vehicles - Part I: Simulation and Economic Analysis Netra Pd. Gyawali*, Nava Raj Karki, Dipesh Shrestha,

More information

Comparing FEM Transfer Matrix Simulated Compressor Plenum Pressure Pulsations to Measured Pressure Pulsations and to CFD Results

Comparing FEM Transfer Matrix Simulated Compressor Plenum Pressure Pulsations to Measured Pressure Pulsations and to CFD Results Purdue University Purdue e-pubs International Compressor Engineering Conference School of Mechanical Engineering 2012 Comparing FEM Transfer Matrix Simulated Compressor Plenum Pressure Pulsations to Measured

More information

Using ABAQUS in tire development process

Using ABAQUS in tire development process Using ABAQUS in tire development process Jani K. Ojala Nokian Tyres plc., R&D/Tire Construction Abstract: Development of a new product is relatively challenging task, especially in tire business area.

More information

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control

Understanding the benefits of using a digital valve controller. Mark Buzzell Business Manager, Metso Flow Control Understanding the benefits of using a digital valve controller Mark Buzzell Business Manager, Metso Flow Control Evolution of Valve Positioners Digital (Next Generation) Digital (First Generation) Analog

More information

Improvements to the Hybrid2 Battery Model

Improvements to the Hybrid2 Battery Model Improvements to the Hybrid2 Battery Model by James F. Manwell, Jon G. McGowan, Utama Abdulwahid, and Kai Wu Renewable Energy Research Laboratory, Department of Mechanical and Industrial Engineering, University

More information

Large Electric Motor Reliability: What Did the Studies Really Say? Howard W Penrose, Ph.D., CMRP President, MotorDoc LLC

Large Electric Motor Reliability: What Did the Studies Really Say? Howard W Penrose, Ph.D., CMRP President, MotorDoc LLC Large Electric Motor Reliability: What Did the Studies Really Say? Howard W Penrose, Ph.D., CMRP President, MotorDoc LLC One of the most frequently quoted studies related to electric motor reliability

More information

RiskTopics. Motor vehicle record (MVR) criteria October 2017

RiskTopics. Motor vehicle record (MVR) criteria October 2017 RiskTopics Motor vehicle record (MVR) criteria October 2017 Studies show a correlation between past driving performance and future vehicle crash involvement. Drivers who have experienced moving violations

More information

A Recommended Approach to Pipe Stress Analysis to Avoid Compressor Piping Integrity Risk

A Recommended Approach to Pipe Stress Analysis to Avoid Compressor Piping Integrity Risk A Recommended Approach to Pipe Stress Analysis to Avoid Compressor Piping Integrity Risk by: Kelly Eberle, P.Eng. Beta Machinery Analysis Calgary, AB Canada keberle@betamachinery.com keywords: reciprocating

More information

Intelligent Power Management of Electric Vehicle with Li-Ion Battery Sheng Chen 1,a, Chih-Chen Chen 2,b

Intelligent Power Management of Electric Vehicle with Li-Ion Battery Sheng Chen 1,a, Chih-Chen Chen 2,b Applied Mechanics and Materials Vols. 300-301 (2013) pp 1558-1561 Online available since 2013/Feb/13 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.300-301.1558

More information

Acceleration Behavior of Drivers in a Platoon

Acceleration Behavior of Drivers in a Platoon University of Iowa Iowa Research Online Driving Assessment Conference 2001 Driving Assessment Conference Aug 1th, :00 AM Acceleration Behavior of Drivers in a Platoon Ghulam H. Bham University of Illinois

More information

Remarkable CO 2 Reduction of the Fixed Point Fishing Plug-in Hybrid Boat

Remarkable CO 2 Reduction of the Fixed Point Fishing Plug-in Hybrid Boat Journal of Asian Electric Vehicles, Volume 13, Number 1, June 215 Remarkable CO 2 Reduction of the Fixed Point Fishing Plug-in Hybrid Boat Shigeyuki Minami 1, Kazusumi Tsukuda 2, Kazuto Koizumi 3, and

More information

Fuel Economy: How Will Consumers Respond?

Fuel Economy: How Will Consumers Respond? Fuel Economy: How Will Consumers Respond? Julie Becker Vice President Environmental Affairs Alliance of Automobile Manufacturers Asilomar Conference August 2015 Number Of Models Investment = Great Product

More information

Hydro Plant Risk Assessment Guide

Hydro Plant Risk Assessment Guide September 2006 Hydro Plant Risk Assessment Guide Appendix E8: Battery Condition Assessment E8.1 GENERAL Plant or station batteries are key components in hydroelectric powerplants and are appropriate for

More information

LONG RANGE PERFORMANCE REPORT. Study Objectives: 1. To determine annually an index of statewide turkey populations and production success in Georgia.

LONG RANGE PERFORMANCE REPORT. Study Objectives: 1. To determine annually an index of statewide turkey populations and production success in Georgia. State: Georgia Grant Number: 08-953 Study Number: 6 LONG RANGE PERFORMANCE REPORT Grant Title: State Funded Wildlife Survey Period Covered: July 1, 2010 - June 30, 2011 Study Title: Wild Turkey Production

More information

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge

Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge krisztian.flautner@arm.com kimns@eecs.umich.edu stevenmm@eecs.umich.edu

More information

Improving predictive maintenance with oil condition monitoring.

Improving predictive maintenance with oil condition monitoring. Improving predictive maintenance with oil condition monitoring. Contents 1. Introduction 2. The Big Five 3. Pros and cons 4. The perfect match? 5. Two is better than one 6. Gearboxes, for example 7. What

More information

MIT ICAT M I T I n t e r n a t i o n a l C e n t e r f o r A i r T r a n s p o r t a t i o n

MIT ICAT M I T I n t e r n a t i o n a l C e n t e r f o r A i r T r a n s p o r t a t i o n M I T I n t e r n a t i o n a l C e n t e r f o r A i r T r a n s p o r t a t i o n Standard Flow Abstractions as Mechanisms for Reducing ATC Complexity Jonathan Histon May 11, 2004 Introduction Research

More information

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted.

Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Design and evaluate vehicle architectures to reach the best trade-off between performance, range and comfort. Unrestricted. Introduction Presenter Thomas Desbarats Business Development Simcenter System

More information

Force Based Condition Monitoring of Railway Infrastructure

Force Based Condition Monitoring of Railway Infrastructure Force Based Condition Monitoring of Railway Infrastructure Abstract: A South African transportation company has implemented a force based condition monitoring system to reduce the infrastructure / vehicle

More information

Fuzzy based Adaptive Control of Antilock Braking System

Fuzzy based Adaptive Control of Antilock Braking System Fuzzy based Adaptive Control of Antilock Braking System Ujwal. P Krishna. S M.Tech Mechatronics, Asst. Professor, Mechatronics VIT University, Vellore, India VIT university, Vellore, India Abstract-ABS

More information

Time-Dependent Behavior of Structural Bolt Assemblies with TurnaSure Direct Tension Indicators and Assemblies with Only Washers

Time-Dependent Behavior of Structural Bolt Assemblies with TurnaSure Direct Tension Indicators and Assemblies with Only Washers Time-Dependent Behavior of Structural Bolt Assemblies with TurnaSure Direct Tension Indicators and Assemblies with Only Washers A Report Prepared for TurnaSure, LLC Douglas B. Cleary, Ph.D., P.E. William

More information

Computer Aided Transient Stability Analysis

Computer Aided Transient Stability Analysis Journal of Computer Science 3 (3): 149-153, 2007 ISSN 1549-3636 2007 Science Publications Corresponding Author: Computer Aided Transient Stability Analysis Nihad M. Al-Rawi, Afaneen Anwar and Ahmed Muhsin

More information

Overview of Helicopter HUMS Research in DSTO Air Vehicles Division

Overview of Helicopter HUMS Research in DSTO Air Vehicles Division AIAC-12 Twelfth Australian International Aerospace Congress Overview of Helicopter HUMS Research in DSTO Air Vehicles Division Dr Ken Anderson 1 Chief Air Vehicles Division DSTO Australia Abstract: This

More information

AN RPM to TACH Counts Conversion. 1 Preface. 2 Audience. 3 Overview. 4 References

AN RPM to TACH Counts Conversion. 1 Preface. 2 Audience. 3 Overview. 4 References AN 17.4 RPM to TACH Counts Conversion 1 Preface 2 Audience 3 Overview 4 References This application note provides look up tables for the calculation of RPM to TACH Counts for use with the EMC2103, EMC2104,

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 02

More information

Improvement Design of Vehicle s Front Rails for Dynamic Impact

Improvement Design of Vehicle s Front Rails for Dynamic Impact 5 th European LS-DYNA Users Conference Crash Technology (1) Improvement Design of Vehicle s Front Rails for Dynamic Impact Authors: Chien-Hsun Wu, Automotive research & testing center Chung-Yung Tung,

More information

Improvements of Existing Overhead Lines for 180km/h operation of the Tilting Train

Improvements of Existing Overhead Lines for 180km/h operation of the Tilting Train Improvements of Existing Overhead Lines for 180km/h operation of the Tilting Train K. Lee, Y.H. Cho, Y. Park, S. Kwon Korea Railroad Research Institute, Uiwang-City, Korea Abstract The purpose of this

More information

Advanced Superscalar Architectures

Advanced Superscalar Architectures Advanced Suerscalar Architectures Krste Asanovic Laboratory for Comuter Science Massachusetts Institute of Technology Physical Register Renaming (single hysical register file: MIPS R10K, Alha 21264, Pentium-4)

More information

Development of Rattle Noise Analysis Technology for Column Type Electric Power Steering Systems

Development of Rattle Noise Analysis Technology for Column Type Electric Power Steering Systems TECHNICAL REPORT Development of Rattle Noise Analysis Technology for Column Type Electric Power Steering Systems S. NISHIMURA S. ABE The backlash adjustment mechanism for reduction gears adopted in electric

More information

Design of Integrated Power Module for Electric Scooter

Design of Integrated Power Module for Electric Scooter EVS27 Barcelona, Spain, November 17-20, 2013 Design of Integrated Power Module for Electric Scooter Shin-Hung Chang 1, Jian-Feng Tsai, Bo-Tseng Sung, Chun-Chen Lin 1 Mechanical and Systems Research Laboratories,

More information

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design

A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design A Cost Benefit Analysis of Faster Transmission System Protection Schemes and Ground Grid Design Presented at the 2018 Transmission and Substation Design and Operation Symposium Revision presented at the

More information

Battery Power Management

Battery Power Management Battery Power Management for Portable Devices Yevgen Barsukov Jinrong Qian ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface xi Acknowledgments xiii Foreword xv 1 Battery Chemistry Fundamentals

More information

CFD on Cavitation around Marine Propellers with Energy-Saving Devices

CFD on Cavitation around Marine Propellers with Energy-Saving Devices 63 CFD on Cavitation around Marine Propellers with Energy-Saving Devices CHIHARU KAWAKITA *1 REIKO TAKASHIMA *2 KEI SATO *2 Mitsubishi Heavy Industries, Ltd. (MHI) has developed energy-saving devices that

More information

Hydraulic Drive Head Performance Curves For Prediction of Helical Pile Capacity

Hydraulic Drive Head Performance Curves For Prediction of Helical Pile Capacity Hydraulic Drive Head Performance Curves For Prediction of Helical Pile Capacity Don Deardorff, P.E. Senior Application Engineer Abstract Helical piles often rely on the final installation torque for ultimate

More information

Design & Development of Regenerative Braking System at Rear Axle

Design & Development of Regenerative Braking System at Rear Axle International Journal of Advanced Mechanical Engineering. ISSN 2250-3234 Volume 8, Number 2 (2018), pp. 165-172 Research India Publications http://www.ripublication.com Design & Development of Regenerative

More information

ECONOMIC EXTENSION OF TRANSMISSION LINE IN DEREGULATED POWER SYSTEM FOR CONGESTION MANAGEMENT Pravin Kumar Address:

ECONOMIC EXTENSION OF TRANSMISSION LINE IN DEREGULATED POWER SYSTEM FOR CONGESTION MANAGEMENT Pravin Kumar  Address: Journal of Advanced College of Engineering and Management, Vol. 3, 2017 ECONOMIC EXTENSION OF TRANSMISSION LINE IN DEREGULATED POWER SYSTEM FOR CONGESTION MANAGEMENT Pravin Kumar Email Address: pravin.kumar@ntc.net.np

More information

Advances in Engineering Research, volume 93 International Symposium on Mechanical Engineering and Material Science (ISMEMS 2016)

Advances in Engineering Research, volume 93 International Symposium on Mechanical Engineering and Material Science (ISMEMS 2016) International Symposium on Mechanical Engineering and Material Science (ISMEMS 2016) Energy Simulation and Materials Dynamic Characteristics of Combined Power Plant Shao Meng-lin1, a, Liang Qian-chao1,

More information

Optimal Placement of Distributed Generation for Voltage Stability Improvement and Loss Reduction in Distribution Network

Optimal Placement of Distributed Generation for Voltage Stability Improvement and Loss Reduction in Distribution Network ISSN (Online) : 2319-8753 ISSN (Print) : 2347-6710 International Journal of Innovative esearch in Science, Engineering and Technology Volume 3, Special Issue 3, March 2014 2014 International Conference

More information

Thermal Management: Key-Off & Soak

Thermal Management: Key-Off & Soak Thermal Management: Key-Off & Soak A whitepaper discussing the issues automotive engineers face every day attempting to accurately predict thermal conditions during thermal transients Exa Corporation 2015/16

More information

Friction and Vibration Characteristics of Pneumatic Cylinder

Friction and Vibration Characteristics of Pneumatic Cylinder The 3rd International Conference on Design Engineering and Science, ICDES 214 Pilsen, Czech Republic, August 31 September 3, 214 Friction and Vibration Characteristics of Pneumatic Cylinder Yasunori WAKASAWA*

More information

Impact of Reflectors on Solar Energy Systems

Impact of Reflectors on Solar Energy Systems Impact of Reflectors on Solar Energy Systems J. Rizk, and M. H. Nagrial Abstract The paper aims to show that implementing different types of reflectors in solar energy systems, will dramatically improve

More information

Multi Body Dynamic Analysis of Slider Crank Mechanism to Study the effect of Cylinder Offset

Multi Body Dynamic Analysis of Slider Crank Mechanism to Study the effect of Cylinder Offset Multi Body Dynamic Analysis of Slider Crank Mechanism to Study the effect of Cylinder Offset Vikas Kumar Agarwal Deputy Manager Mahindra Two Wheelers Ltd. MIDC Chinchwad Pune 411019 India Abbreviations:

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

New Capacity Modulation Algorithm for Linear Compressor

New Capacity Modulation Algorithm for Linear Compressor Purdue University Purdue e-pubs International Compressor Engineering Conference School of Mechanical Engineering 010 New Capacity Modulation Algorithm for Linear Compressor Jaeyoo Yoo Sungho Park Hyuk

More information

Study of the Performance of a Driver-vehicle System for Changing the Steering Characteristics of a Vehicle

Study of the Performance of a Driver-vehicle System for Changing the Steering Characteristics of a Vehicle 20 Special Issue Estimation and Control of Vehicle Dynamics for Active Safety Research Report Study of the Performance of a Driver-vehicle System for Changing the Steering Characteristics of a Vehicle

More information

Key Parameters Investigation on Small Cycle Fuel Injection Quantity for a Diesel Engine Electronic Unit Pump System

Key Parameters Investigation on Small Cycle Fuel Injection Quantity for a Diesel Engine Electronic Unit Pump System Page63 EVS25 Shenzhen, China, Nov 5-9, 21 Key Parameters Investigation on Small Cycle Fuel Injection Quantity for a Diesel Engine Electronic Unit Pump System Abstract Liyun Fan 1, Bingqi Tian 1, and Xiuzhen

More information

SIMULATION AND OPTIMIZATION OF THE MANUFACTURING PROCESS OF E- BICYCLES: THE CASE OF INNOVAUNAM

SIMULATION AND OPTIMIZATION OF THE MANUFACTURING PROCESS OF E- BICYCLES: THE CASE OF INNOVAUNAM SIMULATION AND OPTIMIZATION OF THE MANUFACTURING PROCESS OF E- BICYCLES: THE CASE OF INNOVAUNAM Pedro González-Hernández (a), Aida Huerta-Barrientos (b) (a),(b) Department of Systems Engineering National

More information

Optimal Design of a Wheelchair Suspension Based on a Compliant Mechanism

Optimal Design of a Wheelchair Suspension Based on a Compliant Mechanism 11 th World Congress on Structural and Multidisciplinary Optimisation 07 th -12 th, June 2015, Sydney Australia Optimal Design of a Wheelchair Suspension Based on a Compliant Mechanism Masakazu Kobayashi

More information

Effect of Stator Shape on the Performance of Torque Converter

Effect of Stator Shape on the Performance of Torque Converter 16 th International Conference on AEROSPACE SCIENCES & AVIATION TECHNOLOGY, ASAT - 16 May 26-28, 2015, E-Mail: asat@mtc.edu.eg Military Technical College, Kobry Elkobbah, Cairo, Egypt Tel : +(202) 24025292

More information

Module-Integrated Power Electronics for Solar Photovoltaics. Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012

Module-Integrated Power Electronics for Solar Photovoltaics. Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012 Module-Integrated Power Electronics for Solar Photovoltaics Robert Pilawa-Podgurski Power Affiliates Program 33rd Annual Review Friday, May 4th 2012 Solar Photovoltaic System Challenges Solar Photovoltaic

More information

Study Of Static And Frequency Responsible Analysis Of Hangers With Exhaust System

Study Of Static And Frequency Responsible Analysis Of Hangers With Exhaust System International Journal of Advances in Scientific Research and Engineering (ijasre) ISSN: 2454-8006 [Vol. 03, Issue 5, June -2017] Study Of Static And Frequency Responsible Analysis Of Hangers With Exhaust

More information

Energy Efficient Content-Addressable Memory

Energy Efficient Content-Addressable Memory Energy Efficient Content-Addressable Memory Advanced Seminar Computer Engineering Institute of Computer Engineering Heidelberg University Fabian Finkeldey 26.01.2016 Fabian Finkeldey, Energy Efficient

More information

Comparison of the 6YO ATD kinematics restrained in Booster CRSs Sled Experiments in frontal, oblique and side impacts

Comparison of the 6YO ATD kinematics restrained in Booster CRSs Sled Experiments in frontal, oblique and side impacts Comparison of the 6YO ATD kinematics restrained in Booster CRSs Sled Experiments in frontal, oblique and side impacts N. Duong 12 1 Children Hospital of Philadelphia; 2 Drexel University ABSTRACT Unintentional

More information