Enabling Shift-Left through FV Methodologies on Intel Graphics Designs

Size: px
Start display at page:

Download "Enabling Shift-Left through FV Methodologies on Intel Graphics Designs"

Transcription

1 Enabling Shift-Left through FV Methodologies on Intel Graphics esigns M, Achutha KiranKumar V Aarti Gupta Bindumadhava S S Aishwarya Accellera Systems Initiative 1

2 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail Some Myths about FV Some BKMs Accellera Systems Initiative 2

3 Brief History of FV in Intel Graphics Started with atapath formal through STE, on a standard FPU design ~286 bugs found on the next generation design Strong and main tool for finding bugs on all next generation designs Increased the gamut of formal offerings on simple control path designs Started on simple problems and gained the confidence of designers and management Accellera Systems Initiative 3

4 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail Some Myths about FV Some BKMs Accellera Systems Initiative 4

5 Formal Activities at a glance atapath FV STE C2TL Formal TL2TL FV Clock-gating Timing Fix Chicken-Bit Formal Property Verification Connectivity Analysis Formal Scoreboards Low Power Verification Accellera Systems Initiative 5

6 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail atapath FV TL2TL FV Control Path FV Some Myths about FV Some BKMs Accellera Systems Initiative 6

7 Symbolic Trajectory Evaluation (STE) A hybrid between a symbolic simulator and a symbolic model checker Used primarily for checking designs with large datapaths Combines 3-valued simulation (0, 1, X) with symbolic simulation (using variables instead of fixed values) Accellera Systems Initiative 7 Three valued simulation Symbolic three valued simulation Standard Simulationbased verification STE Symbolic simulation

8 STE Infrastructure Accellera Systems Initiative 8

9 C2TL Formal Specification in C++/SystemC Target eep rooted arithmetic algorithms An industry standard tool to verify C2TL equivalence Some complexity reducing techniques to deploy Accellera Systems Initiative 9

10 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail atapath FV TL2TL FV Control Path FV Some Myths about FV Some BKMs Accellera Systems Initiative 10

11 TL2TL Formal Equivalence Ascertains mathematically that assuming the inputs to the previous design (SPEC) and the changed design(impl) are same, the end results should always match ASSUME: SAME INPUTS If incremental changes are intended to change the functionality; constrain to disable the new functionality Changed esign Original esign Original esign Incremental Changes O/Ps Are Outputs equal? O/Ps Accellera Systems Initiative 11

12 TL2TL FV Applications Chicken Bit Additions Timing Fixes Logic edistribution Critical Path eduction Chicken Bit Accellera Systems Initiative 12

13 TL2TL FV Applications Chicken bit fixes potentially broke the sanctity Previous TL Used as Spec TL with bug fix and chicken bit Chicken bit disabled Accellera Systems Initiative 13

14 TL2TL FV Applications Improved Power saving => Aggressive Clock gating TL with Clock gating isabled TL with Clock gating Enabled Accellera Systems Initiative 14

15 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail atapath FV TL2TL FV Control Path FV Some Myths about FV Some BKMs Accellera Systems Initiative 15

16 Assertion based Formal Property Verification Connectivity Analysis Low Power Verification Solutions Application specific methodologies Control Path FV Accellera Systems Initiative 16

17 esign Exploration Use Properties to explore the design Simple Cover properties to give a higher confidence on the design Formal Test bench even before V comes up Safer esign turned in for validation Accellera Systems Initiative 17

18 Formal Scoreboards CLK1 VALI_IN ATA_IN CONSTAIN UT with Large ata- Path Symbolic Sequence CLK2 VALI_OUT ATA_OUT CHECK Infinite number of I/P sequences => a set of SYMBOLIC SEQUENCES [Bochra El-Meray, Jörg Müller, Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal atapath Scoreboards, VCon 2013] All symbolic sequences together enough to validate the data-integrity Accellera Systems Initiative 18

19 Complexity esolution Techniques ivide and Conquer Careful Logic Carving Inputs Pruning Case Splitting Intermediate equivalence esign Abstractions Block A Block B Block C Block Block E Accellera Systems Initiative 19

20 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail Some Myths about FV Some BKMs Accellera Systems Initiative 20

21 Some Myths about FV we busted Only Phds do FV 90% FV engrs non-phs FV is hard Choose the right problem to solve oesn t have coverage ight set of apps available Takes Long time Tricks available to close fast Only good to write proofs Various apps bring closer Only for verification esign Exploration Can retire simulation ecommend co-existence Not needed if I have 100% V Can help in faster closure Accellera Systems Initiative 21

22 Wish list Still the barrier is high need right education Challenges in pockets to convince the teams that FV is No second fiddle Need to penetrate/convince Management/uarch/ Senior esigners Faster Formal Testbench bring up From the V testbench Faster and guaranteed convergence Today 60% of our work is in fighting convergence Accellera Systems Initiative 22

23 Agenda Brief History of FV in Intel Graphics Quick Glance at all FV Activities FV Activities in etail Some Myths about FV Some BKMs Accellera Systems Initiative 23

24 Some BKMs Start simple and be nimble esults speak more about the efficiency of formal Quality of the bug rather than the quantity of bugs FV is time taking but a sure solution for most of the problems If we cant achieve all at one time, better divide and conquer FV completion is not a linear function over time. Most of the times, it is a step function. Be patient. egular updates to management in a perceivable format helps all through the chain understand the progress. Last but not the least, You need strong management Will Accellera Systems Initiative 24

25 Q & A Accellera Systems Initiative 25

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems

Registers Shift Registers Accumulators Register Files Register Transfer Language. Chapter 8 Registers. SKEE2263 Digital Systems Chapter 8 Registers SKEE2263 igital Systems Mun im Zabidi {munim@utm.my} Ismahani Ismail {ismahani@fke.utm.my} Izam Kamisian {e-izam@utm.my} Faculty of Electrical Engineering, Universiti Teknologi Malaysia

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

Enhancement of Virtual Development in FGA. Harald J. Wester Chief Technical Officer Fiat Group

Enhancement of Virtual Development in FGA. Harald J. Wester Chief Technical Officer Fiat Group Enhancement of Virtual Development in FGA Harald J. Wester Chief Technical Officer Fiat Group 1 Virtual Development OBJECTIVES 1. 1. Reduce the the TTM TTM 2. 2. Improve Product Performances & Quality

More information

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability

An High Voltage CMOS Voltage Regulator for automotive alternators with programmable functionalities and full reverse polarity capability L. Fanucci, G. Pasetti University of Pisa P. D Abramo, R. Serventi, F. Tinfena Austriamicrosystems P. Tisserand, P. Chassard, L. Labiste - Valeo An High Voltage CMOS Voltage Regulator for automotive alternators

More information

Modelling and Verification of Relay Interlocking Systems

Modelling and Verification of Relay Interlocking Systems Modelling and Verification of Relay Interlocking Systems Anne E. Haxthausen & Marie Le Bliguet & Andreas Andersen Kjær Informatics and Mathematical Modelling Technical University of Denmark Modelling and

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF

AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF AUTONOMOUS VEHICLES & HD MAP CREATION TEACHING A MACHINE HOW TO DRIVE ITSELF CHRIS THIBODEAU SENIOR VICE PRESIDENT AUTONOMOUS DRIVING Ushr Company History Industry leading & 1 st HD map of N.A. Highways

More information

REPOWERING TRANSPORTATION

REPOWERING TRANSPORTATION REPOWERING TRANSPORTATION E L E C T R I C & N AT U R A L G A S V E H I C L E S NASEO Regional Meeting June 12, 2015 REPOWERING TRANSPORTATION Enable the Market Offers customers more choices Get the Rules

More information

Calibration. DOE & Statistical Modeling

Calibration. DOE & Statistical Modeling ETAS Webinar - ASCMO Calibration. DOE & Statistical Modeling Injection Consumption Ignition Torque AFR HC EGR P-rail NOx Inlet-cam Outlet-cam 1 1 Soot T-exhaust Roughness What is Design of Experiments?

More information

Making Formal Property Verification Mainstream: An Intel Graphics Experience

Making Formal Property Verification Mainstream: An Intel Graphics Experience Making Formal Property Verification Mainstream: An Intel Graphics Experience M, Achutha KiranKumar V, Intel Technology India Pvt Ltd, Bangalore (achutha.kirankumar.v.m@intel.com) Bindumadhava S S, Intel

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

Real-time Simulation of Electric Motors

Real-time Simulation of Electric Motors Real-time Simulation of Electric Motors SimuleD Developments in the electric drive-train have the highest priority, but all the same proven development methods are not consequently applied. For example

More information

Successful New Technology Introduction and Applications of Rotary Steerable System.

Successful New Technology Introduction and Applications of Rotary Steerable System. Successful New Technology Introduction and Applications of Rotary Steerable System. Mohammed Ibrahim Schlumberger Copyright 2003, MPC 2004 This paper was prepared for the 8th MEDITERRANEAN PETROLEUM CONFERENCE

More information

Discovery of Design Methodologies. Integration. Multi-disciplinary Design Problems

Discovery of Design Methodologies. Integration. Multi-disciplinary Design Problems Discovery of Design Methodologies for the Integration of Multi-disciplinary Design Problems Cirrus Shakeri Worcester Polytechnic Institute November 4, 1998 Worcester Polytechnic Institute Contents The

More information

The MathWorks Crossover to Model-Based Design

The MathWorks Crossover to Model-Based Design The MathWorks Crossover to Model-Based Design The Ohio State University Kerem Koprubasi, Ph.D. Candidate Mechanical Engineering The 2008 Challenge X Competition Benefits of MathWorks Tools Model-based

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Gasket Simulations process considering design parameters

Gasket Simulations process considering design parameters Gasket Simulations process considering design parameters Sonu Paroche Deputy Manager VE Commercial Vehicles Ltd. 102, Industrial Area No. 1 Pithampur, District Dhar MP - 454775, India sparoche@vecv.in

More information

KINEMATICAL SUSPENSION OPTIMIZATION USING DESIGN OF EXPERIMENT METHOD

KINEMATICAL SUSPENSION OPTIMIZATION USING DESIGN OF EXPERIMENT METHOD Jurnal Mekanikal June 2014, No 37, 16-25 KINEMATICAL SUSPENSION OPTIMIZATION USING DESIGN OF EXPERIMENT METHOD Mohd Awaluddin A Rahman and Afandi Dzakaria Faculty of Mechanical Engineering, Universiti

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Explicit Simulation of Dampened Starter System using Altair Radioss

Explicit Simulation of Dampened Starter System using Altair Radioss Explicit Simulation of Dampened Starter System using Altair Radioss Siva Sankar Reddy. A Sr. Engineer CAE, PES Valeo India Private Limited Block - A. 4th Floor, TECCI Park, Old No.285, New No.173, Rajiv

More information

Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization

Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization Non-wire Methods for Transmission Congestion Management through Predictive Simulation and Optimization Presented by Ruisheng Diao, Ph.D., P.E. Senior Research Engineer Electricity Infrastructure Pacific

More information

Vehicle Impact due to E- Mobility 5. Bayerischer Innovationskongress 23.June 2016 Techbase

Vehicle Impact due to E- Mobility 5. Bayerischer Innovationskongress 23.June 2016 Techbase Vehicle Impact due to E- Mobility 5. Bayerischer Innovationskongress 23.June 2016 Techbase Matthias Töns www.continental-automotive.com Division Powertrain BU Hybrid Electric Vehicle Agenda 1 Business

More information

Critical Chain Project Management (CCPM)

Critical Chain Project Management (CCPM) Critical Chain Project Management (CCPM) Sharing of concepts and deployment strategy Ashok Muthuswamy April 2018 1 Objectives Why did we implement CCPM at Tata Chemicals? Provide an idea of CCPM, its concepts

More information

Coriolis Density Error Compensating for Ambient Temperature Effects

Coriolis Density Error Compensating for Ambient Temperature Effects Coriolis Density Error Compensating for Ambient Temperature Effects Presented by Gordon Lindsay Oil & Gas Focus Group December 2018 Contents Project aims and objectives Experiment Setup Phase 1 Exploratory

More information

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017 ECE 550D Fundamentals of Computer Systems and Engineering Fall 2017 Digital Arithmetic Prof. John Board Duke University Slides are derived from work by Profs. Tyler Bletch and Andrew Hilton (Duke) Last

More information

Automatic Electronic Gas Metering Management in Italy

Automatic Electronic Gas Metering Management in Italy Automatic Electronic Gas Metering Management in Italy Richard W Black Energy & Utilities Consultant IBM ITALIA AGENDA Introduction Automatic Electronic Gas Metering Management in Italy Gas Standards -

More information

Interim Advice Note 137/10. The use of Stepped Speed Limits at Roadworks. Interim Advice Note 137/10 Stepped Speed Limits

Interim Advice Note 137/10. The use of Stepped Speed Limits at Roadworks. Interim Advice Note 137/10 Stepped Speed Limits Interim Advice Note 137/10 The use of Stepped Speed Limits at Roadworks IAN 137/10 Page 1 of 5 Nov 10 Interim Advice Note 137/10 The Use of Summary This document provides an introduction to (SSL) on the

More information

Differential Expansion Measurements on Large Steam Turbines

Differential Expansion Measurements on Large Steam Turbines Sensonics Technical Note DS1220 Differential Expansion Measurements on Large Steam Turbines One of the challenges facing instrumentation engineers in the power generation sector is the accurate measurement

More information

Proposed Solution to Mitigate Concerns Regarding AC Power Flow under Convergence Bidding. September 25, 2009

Proposed Solution to Mitigate Concerns Regarding AC Power Flow under Convergence Bidding. September 25, 2009 Proposed Solution to Mitigate Concerns Regarding AC Power Flow under Convergence Bidding September 25, 2009 Proposed Solution to Mitigate Concerns Regarding AC Power Flow under Convergence Bidding Background

More information

Steering Module Test Simulator SMTS

Steering Module Test Simulator SMTS Steering Module Test Simulator Overview The Steering Module Test Simulator () from MB Dynamics is a non-hydraulic turnkey lab test system, offering accurate, reliable and repeatable in-laboratory simulations

More information

Foundation Fieldbus System Design and Engineering of CSPC Nanhai Project

Foundation Fieldbus System Design and Engineering of CSPC Nanhai Project Foundation Fieldbus System Design and Engineering of CSPC Nanhai Project Stephen Weng MAC Chief System Integration Engineer ISD-MASP-S05008 Copyright Yokogawa Electric Corporation February 18, 2005 Background

More information

IC Engine Control - the Challenge of Downsizing

IC Engine Control - the Challenge of Downsizing IC Engine Control - the Challenge of Downsizing Dariusz Cieslar* 2nd Workshop on Control of Uncertain Systems: Modelling, Approximation, and Design Department of Engineering, University of Cambridge 23-24/9/2013

More information

Physical Design of a Volt/Var Implementation

Physical Design of a Volt/Var Implementation 1 Physical Design of a Volt/Var Implementation Hydro-Québec Distribution s approach Prepared by Bruno Fazio 2 Subjects Context Volt control Planning Control strategies and exploitation Var control Planning

More information

SHC Swedish Centre of Excellence for Electromobility

SHC Swedish Centre of Excellence for Electromobility SHC Swedish Centre of Excellence for Electromobility Cost effective electric machine requirements for HEV and EV Anders Grauers Associate Professor in Hybrid and Electric Vehicle Systems SHC SHC is a national

More information

Correlation to the Common Core State Standards

Correlation to the Common Core State Standards Correlation to the Common Core State Standards Go Math! 2011 Grade 3 Common Core is a trademark of the National Governors Association Center for Best Practices and the Council of Chief State School Officers.

More information

Sequential Circuit Background. Young Won Lim 11/6/15

Sequential Circuit Background. Young Won Lim 11/6/15 Sequential Circuit /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later

More information

WHITE PAPER. Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration

WHITE PAPER. Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration WHITE PAPER Informatica PowerCenter 8 on HP Integrity Servers: Doubling Performance with Linear Scalability for 64-bit Enterprise Data Integration This document contains Confi dential, Proprietary and

More information

Study on V2V-based AEB System Performance Analysis in Various Road Conditions at an Intersection

Study on V2V-based AEB System Performance Analysis in Various Road Conditions at an Intersection , pp. 1-10 http://dx.doi.org/10.14257/ijseia.2015.9.7.01 Study on V2V-based AEB System Performance Analysis in Various Road Conditions at an Intersection Sangduck Jeon 1, Gyoungeun Kim 1 and Byeongwoo

More information

Enhancing Wheelchair Mobility Through Dynamics Mimicking

Enhancing Wheelchair Mobility Through Dynamics Mimicking Proceedings of the 3 rd International Conference Mechanical engineering and Mechatronics Prague, Czech Republic, August 14-15, 2014 Paper No. 65 Enhancing Wheelchair Mobility Through Dynamics Mimicking

More information

Design of Integrated Power Module for Electric Scooter

Design of Integrated Power Module for Electric Scooter EVS27 Barcelona, Spain, November 17-20, 2013 Design of Integrated Power Module for Electric Scooter Shin-Hung Chang 1, Jian-Feng Tsai, Bo-Tseng Sung, Chun-Chen Lin 1 Mechanical and Systems Research Laboratories,

More information

Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D.

Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D. Model-Based Design and Hardware-in-the-Loop Simulation for Clean Vehicles Bo Chen, Ph.D. Dave House Associate Professor of Mechanical Engineering and Electrical Engineering Department of Mechanical Engineering

More information

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters

ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB uses an OPAL-RT real time simulator to validate controls of medium voltage power converters ABB is a leader in power and automation technologies that enable utility and industry customers to improve

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

World Academy of Science, Engineering and Technology International Journal of Mechanical and Mechatronics Engineering Vol:11, No:3, 2017

World Academy of Science, Engineering and Technology International Journal of Mechanical and Mechatronics Engineering Vol:11, No:3, 2017 Multipurpose Agricultural Robot Platform: Conceptual Design of Control System Software for Autonomous Driving and Agricultural Operations Using Programmable Logic Controller P. Abhishesh, B. S. Ryuh, Y.

More information

How innovation can avoid a shutdown

How innovation can avoid a shutdown Keywords: Oil & Gas Valve seat Control valve Design V a lv e T e c h n o l o g y How innovation can avoid a shutdown This article describes how a novel solution was successfully implemented and allowed

More information

Lecture 14: Instruction Level Parallelism

Lecture 14: Instruction Level Parallelism Lecture 14: Instruction Level Parallelism Last time Pipelining in the real world Today Control hazards Other pipelines Take QUIZ 10 over P&H 4.10-15, before 11:59pm today Homework 5 due Thursday March

More information

Smart Grid Automation and Centralized FISR

Smart Grid Automation and Centralized FISR Smart Grid Automation and Centralized FISR March 21, 2016 Mike Colby Senior Engineer Distribution Control Center Smart Grid Automation and Centralized FISR Remote Controlled Devices & Communication Distribution

More information

Downsizing Powertrains NVH Implications and Solutions for Vehicle Integration

Downsizing Powertrains NVH Implications and Solutions for Vehicle Integration Downsizing Powertrains NVH Implications and Solutions for Vehicle Integration Realize innovation. Downsizing Powertrains NVH Implications and Solutions for Vehicle Integration Downsizing trends and NVH

More information

Electrical Handbook Fault Calculations Using The Mva Method

Electrical Handbook Fault Calculations Using The Mva Method Electrical Handbook Fault Calculations Using The Mva Method In the power systems analysis field of electrical engineering, a per-unit system is the Calculations are simplified because quantities expressed

More information

A Importância da Modelagem Multifísica no Desenvolvimento de Veículos Dr. Cesareo de La Rosa Siqueira ESSS - Business Manager

A Importância da Modelagem Multifísica no Desenvolvimento de Veículos Dr. Cesareo de La Rosa Siqueira ESSS - Business Manager A Importância da Modelagem Multifísica no Desenvolvimento de Veículos Dr. Cesareo de La Rosa Siqueira ESSS - Business Manager 2007 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary ESSS at a Glance

More information

Lecture 7. Coming week s lab: Integrative lab (your choice!)

Lecture 7. Coming week s lab: Integrative lab (your choice!) Lecture 7 Coming week s lab: Integrative lab (your choice!) Today: Systems review exercise due end of class Your feedback Review: sequencing and asynchronous circuit analysis Hydraulic hybrid vehicles

More information

Building Fast and Accurate Powertrain Models for System and Control Development

Building Fast and Accurate Powertrain Models for System and Control Development Building Fast and Accurate Powertrain Models for System and Control Development Prasanna Deshpande 2015 The MathWorks, Inc. 1 Challenges for the Powertrain Engineering Teams How to design and test vehicle

More information

Development of an Extended Range, Large Caliber, Modular Payload Projectile

Development of an Extended Range, Large Caliber, Modular Payload Projectile 1 Development of an Extended Range, Large Caliber, Modular Payload Projectile April 12th, 2011 Miami, Florida, USA 46 th Annual Gun & Missile Systems Conference & Exhibition Speaker: Pierre-Antoine Rainville

More information

The Fundamentals of DS3

The Fundamentals of DS3 1 The Overview To meet the growing demands of voice and data communications, America s largest corporations are exploring the high-speed worlds of optical fiber and DS3 circuits. As end-users continue

More information

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology

Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology Dual-Rail Domino Logic Circuits with PVT Variations in VDSM Technology C. H. Balaji 1, E. V. Kishore 2, A. Ramakrishna 3 1 Student, Electronics and Communication Engineering, K L University, Vijayawada,

More information

Comparing Flow and Pressure Drop in Mufflers

Comparing Flow and Pressure Drop in Mufflers UNIVERSITY OF IDAHO GAUSS ENGINEERING Comparing Flow and Pressure Drop in Mufflers A Statistical Analysis Jeremy Cuddihy, Chris Ohlinger, Steven Slippy, and Brian Lockner 10/24/2012 Table Of Contents Topic

More information

Ballard Power Systems

Ballard Power Systems Ballard Power Systems Ballard Power Systems Fuel Cells Current Status and Prospects for the Future David Musil, P. Eng. Project Engineer, Advanced Automotive Development March 30, 2006 Outline 1. Background

More information

NEBBinar: A TAB Professional s Wish List: Equipment Procedures & Issues. March 27, 2014

NEBBinar: A TAB Professional s Wish List: Equipment Procedures & Issues. March 27, 2014 NEBBinar: A TAB Professional s Wish List: Equipment Procedures & Issues March 27, 2014 0 Moderator Tom Hanlon NEBB s TAB Committee Tom has forty years of experience in refrigeration systems control system

More information

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt

Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt Control Design of an Automated Highway System (Roberto Horowitz and Pravin Varaiya) Presentation: Erik Wernholt 2001-05-11 1 Contents Introduction What is an AHS? Why use an AHS? System architecture Layers

More information

2015 STPA Conference. A s t u d y o n t h e f u s i o n o f S T P A a n d N i s s a n ' s S y s t e m s E n g i n e e r i n g

2015 STPA Conference. A s t u d y o n t h e f u s i o n o f S T P A a n d N i s s a n ' s S y s t e m s E n g i n e e r i n g 2015 STPA Conference A s t u d y o n t h e f u s i o n o f S T P A a n d N i s s a n ' s S y s t e m s E n g i n e e r i n g Nissan Motor Co., Ltd Tetsunobu Morita, Takashi Nakazawa Masaaki Uchida Massachusetts

More information

Math is Not a Four Letter Word FTC Kick-Off. Andy Driesman FTC4318 Green Machine Reloaded

Math is Not a Four Letter Word FTC Kick-Off. Andy Driesman FTC4318 Green Machine Reloaded 1 Math is Not a Four Letter Word 2017 FTC Kick-Off Andy Driesman FTC4318 Green Machine Reloaded andrew.driesman@gmail.com 2 Goals Discuss concept of trade space/studies Demonstrate the importance of using

More information

Mechatronics & Industrial Automation Technician

Mechatronics & Industrial Automation Technician Brief Program Description The Mechatronics & Industrial Automation Technician program is a 9 week program designed to help students develop the skills and knowledge needed for work as entry-level industrial

More information

Today s meeting. Today s meeting 2/7/2016. Instrumentation Technology INST Symbology Process and Instrumentation Diagrams P&IP

Today s meeting. Today s meeting 2/7/2016. Instrumentation Technology INST Symbology Process and Instrumentation Diagrams P&IP Instrumentation Technology INST 1010 Symbology Process and Instrumentation Diagrams P&IP Basile Panoutsopoulos, Ph.D. CCRI Department of Engineering and Technology B. Panoutsopoulos Engineering Physics

More information

TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO. Table of Multiple Feedback Shift Registers

TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO. Table of Multiple Feedback Shift Registers ISSN 1172-496X ISSN 1172-4234 (Print) (Online) TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO Table of Multiple Feedback Shift Registers by R. W. Ward, T.C.A. Molteno ELECTRONICS

More information

Analytical Technology for Axial Piston Pumps and Motors

Analytical Technology for Axial Piston Pumps and Motors Analytical Technology for Axial Piston Pumps and Motors Technology Explanation Analytical Technology for Axial Piston Pumps and Motors SATO Naoto Abstract Axial piston pumps and motors are key products

More information

Busy Ant Maths and the Scottish Curriculum for Excellence Foundation Level - Primary 1

Busy Ant Maths and the Scottish Curriculum for Excellence Foundation Level - Primary 1 Busy Ant Maths and the Scottish Curriculum for Excellence Foundation Level - Primary 1 Number, money and measure Estimation and rounding Number and number processes Fractions, decimal fractions and percentages

More information

HRSG Operational Challenges and Siemens Solutions

HRSG Operational Challenges and Siemens Solutions Intelligent Energy Solutions HRSG Operational Challenges and Siemens Solutions Gary Gong Ph.D P.E. Siemens Energy Inc 4400 N Alafaya Trl Orlando, FL 32766 Siemens Energy, Inc. Siemens 2010. All Energy,

More information

Automated driving in urban environments: technical challenges, open problems and barriers. Fawzi Nashashibi

Automated driving in urban environments: technical challenges, open problems and barriers. Fawzi Nashashibi Automated driving in urban environments: technical challenges, open problems and barriers Fawzi Nashashibi 6th Workshop on Planning, Perception and Navigation for Intelligent Vehicles SEPTEMBER 14, 2014

More information

NASA Welcome 2nd NASA-FAA On-Demand Mobility and Emerging Aviation Technologies Roadmapping Workshop

NASA Welcome 2nd NASA-FAA On-Demand Mobility and Emerging Aviation Technologies Roadmapping Workshop NASA Welcome 2nd NASA-FAA On-Demand Mobility and Emerging Aviation Technologies Roadmapping Workshop Douglas A. Rohn, Director, Transformative Aeronautics Concepts Program March 8, 2016 NASA Aeronautics

More information

OPTIMIZATION STUDIES OF ENGINE FRICTION EUROPEAN GT CONFERENCE FRANKFURT/MAIN, OCTOBER 8TH, 2018

OPTIMIZATION STUDIES OF ENGINE FRICTION EUROPEAN GT CONFERENCE FRANKFURT/MAIN, OCTOBER 8TH, 2018 OPTIMIZATION STUDIES OF ENGINE FRICTION EUROPEAN GT CONFERENCE FRANKFURT/MAIN, OCTOBER 8TH, 2018 M.Sc. Oleg Krecker, PhD candidate, BMW B.Eng. Christoph Hiltner, Master s student, Affiliation BMW AGENDA

More information

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder

Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder 76 Design and Analysis of 32 Bit Regular and Improved Square Root Carry Select Adder Anju Bala 1, Sunita Rani 2 1 Department of Electronics and Communication Engineering, Punjabi University, Patiala, India

More information

CAE Analysis of Passenger Airbag Bursting through Instrumental Panel Based on Corpuscular Particle Method

CAE Analysis of Passenger Airbag Bursting through Instrumental Panel Based on Corpuscular Particle Method CAE Analysis of Passenger Airbag Bursting through Instrumental Panel Based on Corpuscular Particle Method Feng Yang, Matthew Beadle Jaguar Land Rover 1 Background Passenger airbag (PAB) has been widely

More information

Special Research Study:

Special Research Study: Special Research Study: Comparison of Water Main Pipe Installation Lengths and Costs in North and South Carolina: Raleigh, Charlotte, and Spartanburg/Greenville Client: American Chemistry Council BCC Research

More information

CAGI Data Sheets. Blower Performance Comparison. Stephen Horne, Blowers Product Manager Kaeser Compressors, Inc.

CAGI Data Sheets. Blower Performance Comparison. Stephen Horne, Blowers Product Manager Kaeser Compressors, Inc. CAGI Data Sheets Blower Performance Comparison Stephen Horne, Blowers Product Manager Kaeser Compressors, Inc. Comparing blower performances across different manufacturers and technologies has long been

More information

ABB Journey to Digital New ways of Collaboration with Customers

ABB Journey to Digital New ways of Collaboration with Customers ASUT ANNUAL GENERAL MEETING 2017, 18. MAI 2017 ABB Journey to Digital New ways of Collaboration with Customers Sandro Maciocia, Agenda ABB Group ABB Ability solutions & platform Project Example ABB Journey

More information

MAGNA DRIVETRAIN FORUM 2018

MAGNA DRIVETRAIN FORUM 2018 MAGNA DRIVETRAIN FORUM 2018 KREISEL ELECTRIC MAGNA DRIVETRAIN FORUM SOLUTIONS FOR THE ENERGY TRANSITION 2.0 1) Introduction of KREISEL 2) Energy transition 2.0 3) Challenges of implementation 4) Targets

More information

The Deployable Gage Restraint Measurement System - Description and Operational Performance

The Deployable Gage Restraint Measurement System - Description and Operational Performance The Deployable Gage Restraint Measurement System - Description and Operational Performance GARY A. MARTIN ENSCO, INC 5400 PORT ROYAL ROAD SPRINGFIELD, VA 22151 703-321-4513 703-321-7619 (FAX) JEFFREY A.

More information

Heavy Duty Vehicles - Land

Heavy Duty Vehicles - Land Heavy Duty Vehicles - Land #ETI10 Welcome and Introduction HDV Project Manager David Butler Agenda Introduction and welcome Programme overview High Efficiency Selective Catalytic Reduction Project Heavy

More information

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR

EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR EXPERIMENTAL VERIFICATION OF INDUCED VOLTAGE SELF- EXCITATION OF A SWITCHED RELUCTANCE GENERATOR Velimir Nedic Thomas A. Lipo Wisconsin Power Electronic Research Center University of Wisconsin Madison

More information

Testing of Emissions- Relevant Driving Cycles on an Engine Testbed

Testing of Emissions- Relevant Driving Cycles on an Engine Testbed Testing of Emissions- Relevant Driving Cycles on an Engine Testbed Dr. Klaus Rothbart RDE as a Challenge for the Development TRAFFIC EXTREME CONDITIONS MOUNTAIN RANDOMNESS Driving style has a strong impact

More information

Survey Report Informatica PowerCenter Express. Right-Sized Data Integration for the Smaller Project

Survey Report Informatica PowerCenter Express. Right-Sized Data Integration for the Smaller Project Survey Report Informatica PowerCenter Express Right-Sized Data Integration for the Smaller Project 1 Introduction The business department, smaller organization, and independent developer have been severely

More information

Automated Driving: Design and Verify Perception Systems

Automated Driving: Design and Verify Perception Systems Automated Driving: Design and Verify Perception Systems Giuseppe Ridinò 2015 The MathWorks, Inc. 1 Some common questions from automated driving engineers 1011010101010100101001 0101010100100001010101 0010101001010100101010

More information

Topsil Semiconductor. LD SmallCap Seminar 7 October 2010

Topsil Semiconductor. LD SmallCap Seminar 7 October 2010 Topsil Semiconductor Materials A/S LD SmallCap Seminar 7 October 2010 AGENDA 3 Topsil s s markets and market drivers 7 Insulated gate bipolar transistors (IGBTs) fuelling growth 14 Update on strategy 2010-20122012

More information

Preliminary Study on Quantitative Analysis of Steering System Using Hardware-in-the-Loop (HIL) Simulator

Preliminary Study on Quantitative Analysis of Steering System Using Hardware-in-the-Loop (HIL) Simulator TECHNICAL PAPER Preliminary Study on Quantitative Analysis of Steering System Using Hardware-in-the-Loop (HIL) Simulator M. SEGAWA M. HIGASHI One of the objectives in developing simulation methods is to

More information

Trafiksimulering av självkörande fordon hur kan osäkerheter gällande körbeteende och heterogenitet hanteras

Trafiksimulering av självkörande fordon hur kan osäkerheter gällande körbeteende och heterogenitet hanteras Trafiksimulering av självkörande fordon hur kan osäkerheter gällande körbeteende och heterogenitet hanteras CTR-dagen 2018, Stockholm Johan Olstam Agenda What is an automated vehicle? Challenges for traffic

More information

POWER FLOW SIMULATION AND ANALYSIS

POWER FLOW SIMULATION AND ANALYSIS 1.0 Introduction Power flow analysis (also commonly referred to as load flow analysis) is one of the most common studies in power system engineering. We are already aware that the power system is made

More information

SUBJECT AREA(S): Amperage, Voltage, Electricity, Power, Energy Storage, Battery Charging

SUBJECT AREA(S): Amperage, Voltage, Electricity, Power, Energy Storage, Battery Charging Solar Transportation Lesson 4: Designing a Solar Charger AUTHOR: Clayton Hudiburg DESCRIPTION: In this lesson, students will further explore the potential and challenges related to using photovoltaics

More information

LEGO Education WeDo 2.0 Toolbox

LEGO Education WeDo 2.0 Toolbox LEGO Education WeDo 2.0 Toolbox WeDo 2.0 Table of Contents Program with WeDo 2.0 3-21 Build with WeDo 2.0 22-36 Program with WeDo 2.0 Programming is an important part of twenty-first century learning,

More information

HOW REAL PEOPLE VIEW THE FUTURE OF MOBILITY

HOW REAL PEOPLE VIEW THE FUTURE OF MOBILITY HOW REAL PEOPLE VIEW THE FUTURE OF MOBILITY OVERVIEW 1 2 3 Key Points Methodology: Adults overwhelmingly regard January the automotive 20 21, 2018. The industry as innovative, dynamic and changing for

More information

Seoul, Korea. 6 June 2018

Seoul, Korea. 6 June 2018 Seoul, Korea 6 June 2018 Innovation roadmap in clean mobility materials SPEAKER Denis Goffaux Chief Technology Officer Executive Vice-President Energy & Surface Technologies 2 Agenda Well to wheel efficiency

More information

Marc ZELLAT, Driss ABOURI, Thierry CONTE and Riyad HECHAICHI CD-adapco

Marc ZELLAT, Driss ABOURI, Thierry CONTE and Riyad HECHAICHI CD-adapco 16 th International Multidimensional Engine User s Meeting at the SAE Congress 2006,April,06,2006 Detroit, MI RECENT ADVANCES IN SI ENGINE MODELING: A NEW MODEL FOR SPARK AND KNOCK USING A DETAILED CHEMISTRY

More information

Contents. Figures. iii

Contents. Figures. iii Contents Executive Summary... 1 Introduction... 2 Objective... 2 Approach... 2 Sizing of Fuel Cell Electric Vehicles... 3 Assumptions... 5 Sizing Results... 7 Results: Midsize FC HEV and FC PHEV... 8 Contribution

More information

PISTONS FOR POWER. When it comes to pistons for a high-performance. Making More Power Reliably Through Pistons Technology [TECH] By Steve Dulcich

PISTONS FOR POWER. When it comes to pistons for a high-performance. Making More Power Reliably Through Pistons Technology [TECH] By Steve Dulcich [TECH] PISTONS FOR POWER Making More Power Reliably Through Pistons Technology By Steve Dulcich When it comes to pistons for a high-performance engine, the average builder or enthusiast looks at a limited

More information

Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research

Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research Simulation Approach for Aircraft Spare Engines & Engine Parts Planning Operations Research & Advanced Analytics 2015 INFORMS Conference on Business Analytics & Operations Research 1 Outline Background

More information

Using ABAQUS in tire development process

Using ABAQUS in tire development process Using ABAQUS in tire development process Jani K. Ojala Nokian Tyres plc., R&D/Tire Construction Abstract: Development of a new product is relatively challenging task, especially in tire business area.

More information

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold Pipelining Readings: 4.5-4.8 Example: Doing the laundry Ann, Brian, Cathy, & Dave A B C D each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

The path to electrification. April 11, 2018

The path to electrification. April 11, 2018 The path to electrification April 11, 2018 Forward-looking Statements This presentation, as well as other statements made by Delphi Technologies PLC (the Company ), contain forward-looking statements that

More information

e-smart 2009 Low cost fault injection method for security characterization

e-smart 2009 Low cost fault injection method for security characterization e-smart 2009 Low cost fault injection method for security characterization Jean-Max Dutertre ENSMSE Assia Tria CEA-LETI Bruno Robisson CEA-LETI Michel Agoyan CEA-LETI Département SAS Équipe mixte CEA-LETI/ENSMSE

More information

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1

Five Cool Things You Can Do With Powertrain Blockset The MathWorks, Inc. 1 Five Cool Things You Can Do With Powertrain Blockset Mike Sasena, PhD Automotive Product Manager 2017 The MathWorks, Inc. 1 FTP75 Simulation 2 Powertrain Blockset Value Proposition Perform fuel economy

More information