Praktikumi ülesanne nr 4

Size: px
Start display at page:

Download "Praktikumi ülesanne nr 4"

Transcription

1 Järjestikskeemid - Koodlukk I07 - Digitaalloogika ja -süsteemid Õppejõud: Priit Ruberg Ülari Ainjärv

2 1/4 I07 - Sisukord 1. Ülesande püstitus! Lahendus! Automaadi mudel! s0 - s14 (Moore)! s15 (Mealy)! Implementatsioon (lukk.vhd)! Simulatsioon! Ülesande püstitus 1 Ülesandeks on kirjeldada koodiluku avamismehhanismi. Tuleb koostada vastava automaadi (FSM ) mudel ning implementeerida lukuavamise juhtloogika VHDL-is. Ülesande detailid ning testpink on ette antud ning saadaval ülesande kodulehelt. Lukk avaneb kui järjestikku on sisestatud kaheksakohaline kood, mis vastab matriklinumbrile. Minu matriklinumber on Tähele tuleb panna, et nupuvajutus toimub kahes osas, vajutades ja vabastades, misjärel võib alles teha otsuseid edasiseks - oodata uut sisestust, katkestada või avada lukk ja minna algolekusse.. Lahendus Kuna ülesandepüstituses pole ära toodud käitumisjuhist kahe või enama nupu üheaegse vajutuse korral, siis minu poolt kirjeldatud lahenduses seda olukorda ei käsitleta. Kui järjestikku sisestati õige kaheksakohaline kood, siis minu poolt kirjeldatud automaat saadab avamissignaali ning tagasipöördudes algolekusse tühistab selle kohe. Kuna ülesandepüstituses ei ole täpsustatud luku loogilist käitumist pärast avamist ja uuesti sulgemisel ning muude osade mehhaanikat, siis pakutud lahendus on ainult üks paljudest võimalikest..1. Automaadi mudel Oma lahenduses kasutan kombineeritult nii Moore kui Mealy modelleerimist, sest viimases olekus tuleb väljundi otsus langetada nii staatuse kui sisendi (nupu lahtilase) alusel. Ehk siis algolekust liikudes mööda olekuid (vt Joonis 1) väljund ei muutu sisendi tõttu ning siin saab kasutada Moore mudelit, jõudes viimasesse olekusse (s15) tuleb väljundi otsustamisel kontrollida, kas nupp on veel all või lasti lahti ning siin saab kasutada Mealy mudelit. Joonis 1 - Olekudiagramm Antud mudel on optimeerimata, et illustreerida eraldi staatustega nuppude vabastamise sündmusi. Realisatsioonis tuleks seda mudelit oluliselt lihtsustada. Mudeli skeem on toodud alloleval joonisel (vt Joonis ). Mudel on jagatud klassikaliselt kaheks protseduuriks, millest esimene muudab staatust (fsm_transition) ja teine tegeleb otseselt väljundi genereerimisega (fsm_output). Joonis - Skemaatiline vaade 1 Ülesande koduleht asub aadressil Finite-state machine ( I Ülari Ainjärv ()

3 /4.. s0 - s14 (Moore) Järgmine staatus sõltub hetke staatusest ja hetke sisendist, samas hetke väljund sõltub ainult hetke staatusest 3 : a( t +1) = δ ( a( t),z( t) w( t) = λ ( a( t) ). input state output new_state s0 0 s s1 0 s s 0 s s3 0 s s4 0 s s5 0 s s6 0 s s7 0 s s8 0 s s9 0 s s10 0 s s11 0 s s1 0 s s13 0 s s14 0 s15.3. s15 (Mealy) Järgmine staatus sõltub hetke staatusest ja hetke sisendist, samuti hetke väljund sõltub hetke staatusest ja hetke sisendist: a( t +1) = δ ( a( t),z( t) w( t) = λ ( a( t),z( t) ). input state output new_state s15 0 s s15 1 s0.4. Implementatsioon (lukk.vhd) I07 - Task 4 (combination lock). - - Ülari Ainjärv () - - entity koodlukk is port( reset, clock : in bit; input : in bit_vector(9 downto 0 output : out bit end koodlukk; architecture fsm of koodlukk is - - code: input state output new_state s0 0 s1 (1) 3 Logic and System Design of Digital Systems, S. Baranov 008, lk I Ülari Ainjärv ()

4 3/ s1 0 s s 0 s3 () s3 0 s s4 0 s5 (1) s5 0 s s6 0 s7 (1) s7 0 s s8 0 s9 (7) s9 0 s s10 0 s11 (1) s11 0 s s1 0 s13 (0) s13 0 s s14 0 s15 (1) s15 0 s s15 1 s0 type state_type is (s0, s1, s, s3, s4, s5, s6, s7, s8, s9, s10, s11, s1, s13, s14, s15 signal state, new_state : state_type; fsm_transition : process wait on clock until clock = '1'; if reset = '1' then state <= s0; state <= new_state; end process; fsm_output : process (input, state) impure function is_released return boolean is constant released : bit_vector(9 downto 0) := " "; if input = released then return true; return false; end is_released; impure function change_state_on_push(expected_digit : integer; expected_state : state_type) return state_type is if input(expected_digit) = '1' then return expected_state; elsif is_released then return state; return s0; end change_state_on_push; impure function change_state_on_release(expected_state : state_type) return state_type is if is_released then return expected_state; return state; end change_state_on_release; case state is when s0 => output <= '0'; new_state <= change_state_on_push(1, s1 when s1 => new_state <= change_state_on_release(s when s => new_state <= change_state_on_push(, s3 when s3 => I Ülari Ainjärv ()

5 4/4 new_state <= change_state_on_release(s4 when s4 => new_state <= change_state_on_push(1, s5 when s5 => new_state <= change_state_on_release(s6 when s6 => new_state <= change_state_on_push(1, s7 when s7 => new_state <= change_state_on_release(s8 when s8 => new_state <= change_state_on_push(7, s9 when s9 => new_state <= change_state_on_release(s10 when s10 => new_state <= change_state_on_push(1, s11 when s11 => new_state <= change_state_on_release(s1 when s1 => new_state <= change_state_on_push(0, s13 when s13 => new_state <= change_state_on_release(s14 when s14 => new_state <= change_state_on_push(1, s15 when s15 => if is_released then output <= '1'; new_state <= change_state_on_release(s0 when others => new_state <= s0; end case; end process; end fsm;.5. Simulatsioon 5 Kursor simulatsiooni lainediagrammil (vt Joonis 3) on seatud luku avanemise hetkele. Selguse huvides on lainediagrammile lisatud ka signaalide state ja new_state väärtused. Joonis 3 - Simulatsioon (Wave) 5 VHDL-i töövahendina kasutasin ModelSim PE Student Edition 10.1d ( I Ülari Ainjärv ()

VALGE SÄRK PÕHIKANGAS TWO FOLD

VALGE SÄRK PÕHIKANGAS TWO FOLD VALGE SÄRK TWO FOLD S0 2-PLY POPLIN T0 2-PLY TWILL U06 2-PLY ROYAL- OXFORD V SMALL HERRINGBONE Laitmatult valge särk on ajatu klassika. Oma puhtuses võimaldab see kombineerimist mis tahes teiste värvidega.

More information

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style

VHDL (and verilog) allow complex hardware to be described in either single-segment style to two-segment style FFs and Registers In this lecture, we show how the process block is used to create FFs and registers Flip-flops (FFs) and registers are both derived using our standard data types, std_logic, std_logic_vector,

More information

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Dipl.-Ing. Sven Altmann Dr.-Ing. Ulrich Donath Fraunhofer-Institut Integrierte Schaltungen Branch Lab Design Automation

More information

Väiketuulikute ja päikesepaneelide tootlikkuse ja tasuvuse võrdlus

Väiketuulikute ja päikesepaneelide tootlikkuse ja tasuvuse võrdlus Väiketuulikute ja päikesepaneelide tootlikkuse ja tasuvuse võrdlus Rein Pinn Eesti Päikeseenergia Assotsiatsioon EnergoGen Päikeseenergia ja paneelid Toodab sooja Vaakum torukollektor Plaatkollektor Päikeseenergia

More information

Automated Road Closure Gate

Automated Road Closure Gate SD2000-11-F Connecting South Dakota and the Nation South Dakota Department of Transportation Office of Research Automated Road Closure Gate Study SD2000-11 Final Report Prepared by Sara Russell, Alexa

More information

jõudlusega ning vähendab võrra.

jõudlusega ning vähendab võrra. Põhifunktsioonid Aktiivne energiajuhtimine Aktiivse energiajuhtimise funktsioon reguleerib energiatarbimise taset ja jahutusvõimet, juhtides kompressori mootori maksimaalset sagedust. Ülim energiatõhusus

More information

Akregatori käsiraamat. FrankÕsterfeld Anne-Marie Mahfouf Tõlge eesti keelde: Marek Laane

Akregatori käsiraamat. FrankÕsterfeld Anne-Marie Mahfouf Tõlge eesti keelde: Marek Laane FrankÕsterfeld Anne-Marie Mahfouf Tõlge eesti keelde: Marek Laane 2 Sisukord 1 Sissejuhatus 5 1.1 Mis on Akregator?..................................... 5 1.2 RSS- ja Atom-kanalid....................................

More information

Sequential logic implementation

Sequential logic implementation Sequential logic implementation Implementation random logic gates and FFs programmable logic devices (PAL with FFs) Design procedure state diagrams state transition table state assignment next state functions

More information

Field Programmable Gate Arrays a Case Study

Field Programmable Gate Arrays a Case Study Designing an Application for Field Programmable Gate Arrays a Case Study Bernd Däne www.tu-ilmenau.de/ra Bernd.Daene@tu-ilmenau.de de Technische Universität Ilmenau Topics 1. Introduction and Goals 2.

More information

Ramp Profile Hardware Implementation. User Guide

Ramp Profile Hardware Implementation. User Guide Ramp Profile Hardware Implementation User Guide Ramp Profile Hardware Implementation User Guide Table of Contents Ramp Profile Theory... 5 Slew Rate in Reference Variable Count/Sec (T sr )... 6 Slew Rate

More information

KÕRGEPINGE-IMPULSSTRAFO TOITEALLIKA JA KÕRGEPINGEMUUNDURIGA TESTMOODULI PROJEKTEERIMINE ESS-I PROOTONIKIIRENDILE

KÕRGEPINGE-IMPULSSTRAFO TOITEALLIKA JA KÕRGEPINGEMUUNDURIGA TESTMOODULI PROJEKTEERIMINE ESS-I PROOTONIKIIRENDILE Sixten Sepp KÕRGEPINGE-IMPULSSTRAFO TOITEALLIKA JA KÕRGEPINGEMUUNDURIGA TESTMOODULI PROJEKTEERIMINE ESS-I PROOTONIKIIRENDILE LÕPUTÖÖ Mehaanikateaduskond Elektritehnika eriala Tallinn 2017 Mina, Sixten

More information

Laevamootorite tulevik Anders Toomus Osakonna juhatja AB Volvo Penta Service Communication

Laevamootorite tulevik Anders Toomus Osakonna juhatja AB Volvo Penta Service Communication Laevamootorite tulevik Anders Toomus Osakonna juhatja AB Volvo Penta Service Communication Volvo Penta Dept. CB22400 Service Communication AT 1 2014-07-28 Volvo Group Organization Group Trucks Sales &

More information

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up

Page 1. Goal. Digital Circuits: why they leak, how to counter. Design methodology: consider all design abstraction levels. Outline: bottom-up Digital ircuits: why they leak, how to counter Ingrid Verbauwhede Ingrid.verbauwhede-at-esat.kuleuven.be KU Leuven, OSI cknowledgements: urrent and former Ph.D. students Fundamental understanding of MOS

More information

Petrol snow thrower. Petrol snow thrower SPT GB Original instructions EE. Algupärase kasutusjuhendi tõlge

Petrol snow thrower. Petrol snow thrower SPT GB Original instructions EE. Algupärase kasutusjuhendi tõlge Petrol snow thrower GB Original instructions Algupärase kasutusjuhendi tõlge SPT-163-560 1 Petrol snow thrower GB Manufactured under license by: MATRIX GmbH Postauer Straße 26 D-84109 Wörth/Isar www.matrix-direct.net

More information

PICAXE trükkplaatide koostamine

PICAXE trükkplaatide koostamine PICAXE trükkplaatide koostamine PICAXE-MIKROSKEEM Step Systems Eesti Oü KOKKUPANEK: 1. Esmalt puuri trükkplaadile takistite, transistorite, kondensaatorite, dioodide, liuglülitite ühenduskohtadesse avad

More information

EMG SIGNAALITÖÖTLUSPLOKK JUHTIMISRAKENDUSTEKS

EMG SIGNAALITÖÖTLUSPLOKK JUHTIMISRAKENDUSTEKS MEHHATROONIKAINSTITUUT Mehhatroonikasüsteemide õppetool MHK70LT Ervin Piigli EMG SIGNAALITÖÖTLUSPLOKK JUHTIMISRAKENDUSTEKS Autor taotleb tehnikateaduse magistri akadeemilist kraadi Tallinn 2015 Autorideklaratsioon

More information

ZAZ 1102 TAURIA TAGAVEDRUSTUSE KINEMAATIKA MUUTMINE

ZAZ 1102 TAURIA TAGAVEDRUSTUSE KINEMAATIKA MUUTMINE Taavi Filatov ZAZ 1102 TAURIA TAGAVEDRUSTUSE KINEMAATIKA MUUTMINE LÕPUTÖÖ Transporditeaduskond Autotehnika eriala Tallinn 2016 Mina/meie,..., tõendan/tõendame, et lõputöö on minu/meie kirjutatud. Töö koostamisel

More information

Circuit breaker wear monitoring function block description for railway application

Circuit breaker wear monitoring function block description for railway application Circuit breaker wear monitoring function block description for railway application Document ID: PP-13-21313 Budapest, September 2016 CONTENTS Circuit breaker wear monitoring function...3 Technical data...5

More information

EE 6502 UNIT-II PROGRAMMING OF 8085 MICROPROCESSOR. Prepared by S.Sayeekumar, AP/RMDEEE

EE 6502 UNIT-II PROGRAMMING OF 8085 MICROPROCESSOR. Prepared by S.Sayeekumar, AP/RMDEEE EE 6502 UNIT-II PROGRAMMING OF 8085 MICROPROCESSOR Prepared by S.Sayeekumar, AP/RMDEEE 7 12 15 PSW (Program Status word) - Flag unaffected * affected 0 reset 1 set S Sign

More information

Teema 10. Loogiline disain. CASE

Teema 10. Loogiline disain. CASE Teema 10. Loogiline disain. CASE Sisukord 1 Eesmärgid... 3 2 Disain... 3 3 Andmebaasi loogiline disain... 4 3.1 Kontseptuaalse andmemudeli teisendamine... 5 3.2 SQL-andmebaasi kirjelduse esitamine... 6

More information

1.0 DOHC Classic Manuaal Bensiin 4,7 48/ DOHC Comfort Manuaal Bensiin 4,7 48/ Metallikvärv 275

1.0 DOHC Classic Manuaal Bensiin 4,7 48/ DOHC Comfort Manuaal Bensiin 4,7 48/ Metallikvärv 275 i10 i10 i20 Active Cross 1.0 DOHC Classic Manuaal Bensiin 4,7 48/66 9 990 1.0 DOHC Comfort Manuaal Bensiin 4,7 48/66 11 590 Metallikvärv 275 i20 Hatchback i20 Hatchback i20 Active Cross koos koos 1.2 MPI

More information

KEY TO SYMBOLS. Symbols. Choke: Set the choke control in the choke position. 2 English AT26CCMC

KEY TO SYMBOLS. Symbols. Choke: Set the choke control in the choke position. 2 English AT26CCMC GB Operator s manual 2-22 SE Bruksanvisning 23-43 DK Brugsanvisning 44-64 FI Käyttöohje 65-85 NO Bruksanvisning 86-106 FR Manuel d utilisation 107-127 NL Gebruiksaanwijzing 138-148 IT Istruzioni per l

More information

MATRIX 832/424/832+ ICON SÕRMISTIK

MATRIX 832/424/832+ ICON SÕRMISTIK MATRIX 832/424/832+ ICON SÕRMISTIK KESKSEADME KASUTUSJUHEND Maaletooja: ALARMTEC AS SAKU 15, TALLINN 11314 Tel 6598800 Fax 6598899 e-post alarmtec@alarmtec.ee www.alarmtec.ee R R ALARMTEC 2004 SÜSTEEMI

More information

Tarkvaraprotsessi küpsuse hindamise ja arendamise võimalusi Capability Maturity Model i näitel

Tarkvaraprotsessi küpsuse hindamise ja arendamise võimalusi Capability Maturity Model i näitel Tallinna Pedagoogikaülikool Matemaatika-Loodusteaduskond Informaatika õppetool Sander Zeemann Tarkvaraprotsessi küpsuse hindamise ja arendamise võimalusi Capability Maturity Model i näitel Proseminaritöö

More information

ETTEVÕTTE VÄÄRTUSE KUJUNEMINE LÄHTUVALT VALITUD STRATEEGIAST AS i IMPREST NÄITEL

ETTEVÕTTE VÄÄRTUSE KUJUNEMINE LÄHTUVALT VALITUD STRATEEGIAST AS i IMPREST NÄITEL TARTU ÜLIKOOL Majandusteaduskond Ettevõttemajanduse instituut Timo Hermlin ETTEVÕTTE VÄÄRTUSE KUJUNEMINE LÄHTUVALT VALITUD STRATEEGIAST AS i IMPREST NÄITEL Magistritöö ärijuhtimise magistri kraadi taotlemiseks

More information

JÄRELTULIJALIJA e. Küsimustele vastab direktor Sirje Kautsaar

JÄRELTULIJALIJA e. Küsimustele vastab direktor Sirje Kautsaar JÄRELTULIJALIJA e E. VILDE NIM. JUURU GÜMNAASIUMI LEHT ee e ee e NR 38 APRILL 2011 Küsimustele vastab direktor Sirje Kautsaar Sirje Kautsaar. Kuna meie kooli juhib nüüd uus direktor, tegime intervjuu,

More information

EVO192 ja TM50 KASUTUSJUHEND. Maaletooja: ALARMTEC AS TÖÖKOJA 1, TALLINN Tel e-post

EVO192 ja TM50 KASUTUSJUHEND. Maaletooja: ALARMTEC AS TÖÖKOJA 1, TALLINN Tel e-post EVO192 ja TM50 KASUTUSJUHEND versioon 01/2015 Maaletooja: ALARMTEC AS TÖÖKOJA 1, TALLINN 11313 Tel 6511500 e-post alarmtec@alarmtec.ee www.alarmtec.ee ALARMTEC Jaanuar 2015 1.0 TUTVUSTUS Täname teid, et

More information

EESTI MAAÜLIKOOL Tehnikainstituut. Ago Ütt-Ütti

EESTI MAAÜLIKOOL Tehnikainstituut. Ago Ütt-Ütti EESTI MAAÜLIKOOL Tehnikainstituut Ago Ütt-Ütti SÕIDUKI MOOTORI PROGRAMMEERITAVA JUHTMOODULI SEADISTAMISE METOODIKA DÜNAMOMEETRILISES STENDIS METHODOLOGY FOR TUNING VEHICLE STANDALONE ENGINE FUEL INJECTION

More information

Eestikeelne kasutusjuhend

Eestikeelne kasutusjuhend TELEFON XL340X Külastage Philipsit internetis: http://www.philips.com Kasutage vaid taaslaetavaid akusid. Laadige igat telefoni vähemalt 24 tundi enne kasutamist. Eestikeelne kasutusjuhend 10. INDEKS O

More information

GB Instruction for use EE Kasutusjuhend BA11.31PB/PDEE POWERTEX Shackle PBSB/PBSP/PDSB/PDSP

GB Instruction for use EE Kasutusjuhend BA11.31PB/PDEE POWERTEX Shackle PBSB/PBSP/PDSB/PDSP GB Instruction for use EE Kasutusjuhend! BA11.31PB/PDEE24.01.17 POWERTEX Shackle PBSB/PBSP/PDSB/PDSP 1 POWERTEX Shackles Instruction for use (GB) (Original instructions) PDSB with safety bolt PDSP with

More information

Väga tõhusad väikese energiakuluga

Väga tõhusad väikese energiakuluga Küttesüsteem Kliimaseade/ jahe Tarbevesi AX.. / A.. / ModulA.. Väga tõhusad väikese energiakuluga Enam kui lihtsalt pumbad A-energiaklassi asendab Biral ECO-Design A Alates 1. jaanuarist 2013 asendatakse

More information

E-dok. Tarkvara kasutamise käsiraamat. Versioon: E-DOK OÜ E-dok OÜ 1

E-dok. Tarkvara kasutamise käsiraamat. Versioon: E-DOK OÜ E-dok OÜ 1 E-dok Tarkvara kasutamise käsiraamat Versioon: 2 27.04.2015 E-DOK OÜ 2015 E-dok OÜ 1 SISUKORD SISUKORD... 2 SISSEJUHATUS... 6 SISENEMINE TARKVARASSE E-DOK... 9 Sisenemine tarkvarasse online režiimis...

More information

V90 C R O S S C O U N T R Y

V90 C R O S S C O U N T R Y V90 C R O S S C O U N T R Y QUICK GUIDE VÄLKOMMEN! Juhendis Quick Guide tutvustatakse teie Volvo funktsioonide valikut. Täpsema kasutusteabe leiate autost, rakendusest ja Internetist. AUTO KESKEKRAAN Kasutusjuhendi

More information

EE 330 Integrated Circuit. Sequential Airbag Controller

EE 330 Integrated Circuit. Sequential Airbag Controller EE 330 Integrated Circuit Sequential Airbag Controller Chongli Cai Ailing Mei 04/2012 Content...page Introduction...3 Design strategy...3 Input, Output and Registers in the System...4 Initialization Block...5

More information

Kaitseväe Ühendatud Õppeasutused Taktika õppetool

Kaitseväe Ühendatud Õppeasutused Taktika õppetool Kaitseväe Ühendatud Õppeasutused Taktika õppetool ARVO KALJAPULK 7. põhikursus PATALJONILUURERÜHM Lõputöö Juhendajad: major Martin Herem kapten Aivar Kasvand Tartu 2006 1 REFERAAT Töö autor: Arvo Kaljapulk

More information

VIESMANN. Kasutusjuhend VITOLIGNO 100-S. seadme kasutajale. Puugaasikatel sobiv kuni 50 cm puuhalgude jaoks EE 7/2009 Hoidke juhend alles!

VIESMANN. Kasutusjuhend VITOLIGNO 100-S. seadme kasutajale. Puugaasikatel sobiv kuni 50 cm puuhalgude jaoks EE 7/2009 Hoidke juhend alles! Kasutusjuhend seadme kasutajale VIESMANN Puugaasikatel sobiv kuni 50 cm puuhalgude jaoks VITOLIGNO 100-S 7/2009 Hoidke juhend alles! Ohutusjuhised Teie ohutuse tagamiseks Pidage esitatud ohutusjuhistest

More information

MADALA TASEME JUHTKONTROLLERI ARENDUS ISEJUHTIVALE SÕIDUKILE

MADALA TASEME JUHTKONTROLLERI ARENDUS ISEJUHTIVALE SÕIDUKILE TALLINNA TEHNIKAÜLIKOOL Infotehnoloogia teaduskond Elvar Liiv 154089IASB MADALA TASEME JUHTKONTROLLERI ARENDUS ISEJUHTIVALE SÕIDUKILE Bakalaureusetöö Juhendaja: Mairo Leier Doktorikraad Tallinn 2018 Autorideklaratsioon

More information

Using Tridium s Sedona 1.2 Components with Workbench

Using Tridium s Sedona 1.2 Components with Workbench Using Tridium s Sedona 1.2 Components with Workbench This tutorial assists in the understanding of the Sedona components provided in Tridium s Sedona-1.2.28 release. New with the 1.2 release is that the

More information

CS/ECE 5780/6780: Embedded System Design

CS/ECE 5780/6780: Embedded System Design CS/ECE 5780/6780: Embedded System Design John Regehr Lecture 17: Relays and Motors Introduction to Relays A relay is a device that responds to a small current or voltage change by activating a switches

More information

Digitaalne vererõhu aparaat. Mudel UA Kasutusjuhend

Digitaalne vererõhu aparaat. Mudel UA Kasutusjuhend Digitaalne vererõhu aparaat Mudel UA-767 30 Kasutusjuhend EESTI EESTI SISUKORD EESTI 1 Kallid kliendid Meie õnnitlused, kõrgtehnoloogilisel tasemel välja töötatud A/D vererõhu, mõõtmisaparaadi omandamise

More information

Mahu- ja kuluarvestus käsitöönduslikus palkehituses

Mahu- ja kuluarvestus käsitöönduslikus palkehituses 113 Mahu- ja kuluarvestus käsitöönduslikus palkehituses Meinrad Rohner Resümee Kogenud palkehitaja Meinrad Rohner (Alppisalvos OY, Soome) tutvustab artiklis käsitööndusliku palkhoone mahu- ja kuluarvestuse

More information

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 20: Parallelism ILP to Multicores. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 20: Parallelism ILP to Multicores James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L20 S1, James C. Hoe, CMU/ECE/CALCM, 2018 18 447 S18 L20 S2, James C. Hoe, CMU/ECE/CALCM,

More information

Tööülesanne Difraktsioonipildi põhiparameetrite määramine, katsetulemuste võrdlemine teooriaga.

Tööülesanne Difraktsioonipildi põhiparameetrite määramine, katsetulemuste võrdlemine teooriaga. .4. Difraktsioon mitme pilu korral.4.1. Tööülesanne Difraktsioonipildi põhiparameetrite määramine, katsetulemuste võrdlemine teooriaga..4.. Katsevahendid He-Ne laser ( 63,8 nm), kiirtekimbu laiendaja,

More information

Eesti Haigekassa DRG piirhinna ja piiride arvutamise metoodika hindamine

Eesti Haigekassa DRG piirhinna ja piiride arvutamise metoodika hindamine www.pwc.ee DRG piirhinna ja piiride arvutamise metoodika hindamine Eesti DRG hinnakujunduse süsteemi ülevaade I Kokkuvõte Lisad Lembitu 10 10114 Tallinn Lugupeetud Tanel Ross Erki Mägi Juhtivkonsultant

More information

PV20b ja PV30b pelletipõletid

PV20b ja PV30b pelletipõletid PV20b ja PV30b pelletipõletid Kasutusjuhend PV20b / PV20b pelletipõleti lk 2/ 42 Sisukord Ohutusnõuded... 4 Hoiatused... 4 Märkused... 4 Põletite komplektsus... 6 1 Kirjeldus... 7 1.1 Töötamise põhimõte...

More information

Kasutusjuhendi parandus

Kasutusjuhendi parandus Drive Technology \ Drive Automation \ System Integration \ Services Kasutusjuhendi parandus MOVITRAC LTP-B/LTX, LTP-A, LTE-B Väljaanne 03/2013 20133588 / ET SEW-EURODRIVE Driving the world Sisukord 1 Märkused...

More information

KAS ENERGIA ON EESTIS ODAV VÕI KALLIS?

KAS ENERGIA ON EESTIS ODAV VÕI KALLIS? KAS ENERGIA ON EESTIS ODAV VÕI KALLIS? Rita Raudjärv, Ljudmilla Kuskova Energia on ressurss, milleta on tänapäeva elu raske ette kujutada tundub enesestmõistetavana, et see on pidevalt olemas. Erilise

More information

RAM-Type Interface for Embedded User Flash Memory

RAM-Type Interface for Embedded User Flash Memory June 2012 Introduction Reference Design RD1126 MachXO2-640/U and higher density devices provide a User Flash Memory (UFM) block, which can be used for a variety of applications including PROM data storage,

More information

This document is a preview generated by EVS

This document is a preview generated by EVS EESTI STANDARD EVS-EN 15210-1:2010 Tahked biokütused. Graanulite ja brikettide mehaanilise vastupidavuse määramine. Osa 1: Graanulid Solid biofuels - Determination of mechanical durability of pellets and

More information

GB Instruction for use EE Kasutusjuhend! UMPLM EE. POWERTEX Permanent Lifting Magnet model PLM

GB Instruction for use EE Kasutusjuhend! UMPLM EE. POWERTEX Permanent Lifting Magnet model PLM GB Instruction for use EE Kasutusjuhend! UMPLM20180903EE POWERTEX Permanent Lifting Magnet model PLM 1 POWERTEX Permanent Lifting Magnet PLM 100 kg 2 ton Instruction for use (GB) (Original instructions)

More information

Tartu Ülikool Germaani, romaani ja slaavi filoloogia instituut KÜTTE, VENTILATSIOONI JA ÕHUKONDITSIONEERIMISE INGLISE-EESTI SELETAV SÕNASTIK

Tartu Ülikool Germaani, romaani ja slaavi filoloogia instituut KÜTTE, VENTILATSIOONI JA ÕHUKONDITSIONEERIMISE INGLISE-EESTI SELETAV SÕNASTIK Tartu Ülikool Germaani, romaani ja slaavi filoloogia instituut KÜTTE, VENTILATSIOONI JA ÕHUKONDITSIONEERIMISE INGLISE-EESTI SELETAV SÕNASTIK Magistriprojekt Margus Mere Juhendajad: Kristi Põder Märt Falk

More information

PUBLITSEERIMISKESKUS. Kasutusjuhend

PUBLITSEERIMISKESKUS. Kasutusjuhend PUBLITSEERIMISKESKUS Kasutusjuhend Dokumendi ajalugu Ver. nr. Ver. kuup Autor Muudatuste kirjeldus 1 13.04.2008 Esmane versioon 2 15.01.2016 Maris Kuusik Täiendatud ja parandatud versioon Sisukord 1 Dokumendi

More information

dotsent, tellimustöö vastutav täitja, TTÜ elektroenergeetika instituut doktorant, nooremteadur, TTÜ elektroenergeetika instituut

dotsent, tellimustöö vastutav täitja, TTÜ elektroenergeetika instituut doktorant, nooremteadur, TTÜ elektroenergeetika instituut Elektertransport ja selle mõju elektrisüsteemi talitluselee Uurimistöö 1.1-4/12/3477 / Lep12183 III etapi lõpparuanne Tallinn 2014 Uurimistöö täitjad: Ivo Palu Tanel Sarnet Triin Kangro dotsent, tellimustöö

More information

Naabrireeglid klassifitseerimisel

Naabrireeglid klassifitseerimisel Tartu Ülikool Matemaatika-Informaatika Teaduskond Matemaatilise Statistika Instituut Semestritöö: Naabrireeglid klassifitseerimisel Autor: Raivo Kolde Juhendaja: Jüri Lember 9. detsember 2004. a. Sisukord

More information

Breaker failure protection function block description

Breaker failure protection function block description function block description Document ID: PRELIMINARY VERSION User s manual version information Version Date Modification Compiled by Preliminary 24.11.2009. Preliminary version, without technical information

More information

Design and Implementation of Fuel Metering Unit for an Aero Engine

Design and Implementation of Fuel Metering Unit for an Aero Engine Design and Implementation of Fuel Metering Unit for an Aero Engine SWEETY.S 1, SWETHA KUMARI.U 2, VINITH PRABHU.H 3 SUPRIYA.K.V 4 UG Student, Department of Electronics and Communication Engineering, Dr.T.T.I.T,

More information

UML keel. Keel visuaalseks modelleerimiseks. Ajalugu ja skeemide nimekiri

UML keel. Keel visuaalseks modelleerimiseks. Ajalugu ja skeemide nimekiri UML keel Keel visuaalseks modelleerimiseks. Ajalugu ja skeemide nimekiri Mudel Mudel on tegelikkuse lihtsustatud, üldistatud esitus. Mudel peab aitama nähtust paremini mõista; tegevusi planeerida. Mudel

More information

Kasutus- ja paigaldusjuhend Juhtseade tuleohutussüsteemidele SVM 24V-5A / SVM 24V-8A

Kasutus- ja paigaldusjuhend Juhtseade tuleohutussüsteemidele SVM 24V-5A / SVM 24V-8A Kasutus- ja paigaldusjuhend Juhtseade tuleohutussüsteemidele SVM 4V-A / SVM 4V-8A Tuleohutussüsteemid Ventilatsioonisüsteemid 4V DC maks. A / 8A tuleohutuse rühm, ventilatsiooni rühm Sisendid tulekahjulülititele,

More information

Local Memory Bus (LMB) V10 (v1.00a)

Local Memory Bus (LMB) V10 (v1.00a) Local Memory Bus (LMB) V10 (v1.00a) DS445 December 2, 2009 Introduction The LMB V10 module is used as the LMB interconnect for Xilinx FPGA-based embedded processor systems. The LMB is a fast, local bus

More information

Kiirtoidu seadmete hinnakiri

Kiirtoidu seadmete hinnakiri Kiirtoidu seadmete hinnakiri FKI Fast Food Teknik a/s Turustaja Eestis 2018 Teekond õnnelike klientideni kiirtoiduäris FKI on spetsialiseerunud kiirtoiduseadmete arendamisele ja tootmisele. Tootja eesmärk

More information

Kui ei külma küünlal jalad, siis vast külmab vastlal jalad, kui ei külma vastlal jalad, siis jorutab jüripäevani. (Iisaku, 1961)

Kui ei külma küünlal jalad, siis vast külmab vastlal jalad, kui ei külma vastlal jalad, siis jorutab jüripäevani. (Iisaku, 1961) Kui ei külma küünlal jalad, siis vast külmab vastlal jalad, kui ei külma vastlal jalad, siis jorutab jüripäevani. (Iisaku, 1961) Oli käre külm, kuid päike helendas ja lumi sätendas silmipimestavalt. Oli

More information

Rehvitemperatuuri mõõtesüsteem võistlusautole FEST14

Rehvitemperatuuri mõõtesüsteem võistlusautole FEST14 Mehhatroonika instituut MHK õppetool MHK40LT Rainer Lepik Rehvitemperatuuri mõõtesüsteem võistlusautole FEST14 Bakalaureusetöö Autor taotleb tehnikateaduste bakalaureuse akadeemilist kraadi Tallinn 2014

More information

210 mm 145 mm. 210 mm 240 mm. 145 mm. 210 mm 280/180 mm. 145 mm

210 mm 145 mm. 210 mm 240 mm. 145 mm. 210 mm 280/180 mm. 145 mm 21 mm 21 mm 21 mm 18 mm 18 mm 21 mm 18 mm 18 mm 24 mm 21 mm 24 mm 21 mm 26 mm 21 mm 28/18 mm 1 A 56 mm R = Min. 6,5 mm Max. 8 mm Min. 5 mm 54 mm 58 mm 51 mm 49 mm 483 mm 553 mm +1 3 mm 513 mm 583 mm 56

More information

ATS3000/4000 Juhtpaneel. Kasutusjuhend

ATS3000/4000 Juhtpaneel. Kasutusjuhend ATS3000/4000 Juhtpaneel Kasutusjuhend ARITECH on firma Interlogix BV filiaal. AUTIKAITSE 2000 Interlogix BV. Kõik õigused kaitstud. Firma Interlogix BV annab käesoleva juhendi reprodutseerimise õiguse

More information

Using SystemVerilog Assertions in Gate-Level Verification Environments

Using SystemVerilog Assertions in Gate-Level Verification Environments Using SystemVerilog Assertions in Gate-Level Verification Environments Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Gate-level simulations why bother? methodology

More information

Sisekaitseakadeemia Finantskolledž. Svetlana Matsova ÜHISTRANSIIDIPROTSEDUURI KONVENTSIOONI LAIENEMISE MÕJU EESTI TRANSIIDISÜSTEEMILE.

Sisekaitseakadeemia Finantskolledž. Svetlana Matsova ÜHISTRANSIIDIPROTSEDUURI KONVENTSIOONI LAIENEMISE MÕJU EESTI TRANSIIDISÜSTEEMILE. Sisekaitseakadeemia Finantskolledž Svetlana Matsova ÜHISTRANSIIDIPROTSEDUURI KONVENTSIOONI LAIENEMISE MÕJU EESTI TRANSIIDISÜSTEEMILE Lõputöö Juhendaja: Katrin Punga, tollitalituse peaspetsialist, MTA Tallinn

More information

EUROOPA PARLAMENT ARVAMUS. Siseturu- ja tarbijakaitsekomisjon 2003/0226(COD) Esitaja: siseturu- ja tarbijakaitsekomisjon

EUROOPA PARLAMENT ARVAMUS. Siseturu- ja tarbijakaitsekomisjon 2003/0226(COD) Esitaja: siseturu- ja tarbijakaitsekomisjon EUROOPA PARLAMENT 2004 ««««««««««««Siseturu- ja tarbijakaitsekomisjon 2009 2003/0226(COD) 14.12.2004 ARVAMUS Esitaja: siseturu- ja tarbijakaitsekomisjon Saaja: transpordi- ja turismikomisjon Teema: Euroopa

More information

Kinnituselemendid ja ühendustehnika. Kvaliteet, mida saab usaldada

Kinnituselemendid ja ühendustehnika. Kvaliteet, mida saab usaldada Kinnituselemendid ja ühendustehnika Kvaliteet, mida saab usaldada H&R GmbH I Osemundstraße 4 I DE 58636 Iserlohn Fon +49 2371 95316-0 I Fax +49 2371 95316-16 info@the-wire-man.com I www.the-wire-man.com

More information

Activant Prelude. Using the Shop Repair Module

Activant Prelude. Using the Shop Repair Module Activant Prelude Using the Shop Repair Module This class is designed for Operations Managers Shop Repair Technicians Employees that enter Shop Repair orders Objectives Set up maintenance requirements for

More information

VÄLJALASKESÜSTEEMI PROJEKTEERIMINE ÜKSIKKORRAS VALMISTATUD SÕIDUKILE

VÄLJALASKESÜSTEEMI PROJEKTEERIMINE ÜKSIKKORRAS VALMISTATUD SÕIDUKILE Risto Egipti VÄLJALASKESÜSTEEMI PROJEKTEERIMINE ÜKSIKKORRAS VALMISTATUD SÕIDUKILE LÕPUTÖÖ Tallinn 2014 Risto Egipti VÄLJALASKESÜSTEEMI PROJEKTEERIMINE ÜKSIKKORRAS VALMISTATUD SÕIDUKILE LÕPUTÖÖ Transporditeaduskond

More information

KASUTAMISE JA PAIGALDAMISE JUHEND

KASUTAMISE JA PAIGALDAMISE JUHEND www.torujyri.ee,,,,,, ATMOS PUUGAASI KATLA KASUTAMISE JA PAIGALDAMISE JUHEND Katla pikaealisuse ja õige töö põhiprintsiibid: 1. Kasutada eranditult ainult kuiva puitu maksimaalse niiskusega kuni 20%. 2.

More information

Index. sequencing, 21, 26 starting off, 22 using, 28 code sequence, 28 custom pallete, 28

Index. sequencing, 21, 26 starting off, 22 using, 28 code sequence, 28 custom pallete, 28 Index A, B Blocks, 21 builder dialog, 24 code, DelaySequence, 25 editing, 26 delay sequence, 26 in robot, 27 icon builder, 25 manage and share, 37 broken blocks, 39 custom palette, 37 folder selection,

More information

TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO. Table of Multiple Feedback Shift Registers

TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO. Table of Multiple Feedback Shift Registers ISSN 1172-496X ISSN 1172-4234 (Print) (Online) TECHNICAL REPORTS from the ELECTRONICS GROUP at the UNIVERSITY of OTAGO Table of Multiple Feedback Shift Registers by R. W. Ward, T.C.A. Molteno ELECTRONICS

More information

KESKMOOTORIGA RALLIAUTO TAURIA PLASTKOORIKU RENOVEERIMINE

KESKMOOTORIGA RALLIAUTO TAURIA PLASTKOORIKU RENOVEERIMINE Erik Artjomenko KESKMOOTORIGA RALLIAUTO TAURIA PLASTKOORIKU RENOVEERIMINE LÕPUTÖÖ Tallinn 2017 Erik Artjomenko KESKMOOTORIGA RALLIAUTO TAURIA PLASTKOORIKU RENOVEERIMINE LÕPUTÖÖ Transporditeaduskond Autotehnika

More information

Liginullenergiahoonete lokaalse taastuvelektri vajadus ja tasuvus

Liginullenergiahoonete lokaalse taastuvelektri vajadus ja tasuvus Liginullenergiahoonete lokaalse taastuvelektri vajadus ja tasuvus Jarek Kurnitski, Ergo Pikas 07.10.2016 Ehitajate tee 5 Phone +372 620 2002 ttu@ttu.ee 19086 Tallinn ESTONIA Fax +372 620 2020 www.ttu.ee

More information

Tabelarvutus LibreOffice Calc-iga

Tabelarvutus LibreOffice Calc-iga informaatikaõpetaja Rapla Vesiroosi Gümnaasium edmund@rvg.edu.ee Telefon: +372 52 80 479 Skype: zeroconf 2011 Käesolevat õppematerjali on lubatud jagada Creative Commons litsentsi CC BY-SA alusel: Te peate

More information

MP-4000 Motor Protection

MP-4000 Motor Protection MP-4000 Motor Protection Product Focus EATON CORPORATION 1-800-525-2000 Eaton.com 1 Multi-function motor protection Introducing Eaton s Cutler-Hammer MP-4000 motor protection relay. Eaton s Cutler-Hammer

More information

Logic Gates and Digital Electronics

Logic Gates and Digital Electronics Logic Gates and Digital Electronics Logic gates Digital systems are said to be constructed by using logic gates. These gates are the AND, OR, NOT, NAND, NOR, EXOR and EXNOR gates. The basic operations

More information

DC Brushless Motor Application AEL-DCBRA

DC Brushless Motor Application AEL-DCBRA Engineering and Technical Teaching Equipment DC Brushless Motor Application AEL-DCBRA INTRODUCTION DC Brushless Motor Application are synchronous motors that are powered by a DC electric source via an

More information

Digital System Design Using Field Programmable Gate Arrays By Pak K. Chan

Digital System Design Using Field Programmable Gate Arrays By Pak K. Chan Digital System Design Using Field Programmable Gate Arrays By Pak K. Chan If you are searching for a book Digital System Design Using Field Programmable Gate Arrays by Pak K. Chan in pdf format, then you

More information

ACTULUX HINNAKIRI 2016 v 1

ACTULUX HINNAKIRI 2016 v 1 ACTULUX HINNAKIRI 2016 v 1 Üldhinnakiri äriklientidele, ex works Hadsund, Denmark. Kontakt: Silvar Pippar +372 5757 0411 spi@actulux.com AVAMISSÜSTEEMID Traaversiga varustatud SA Power Mini 70-110 cm SA

More information

TTK 31 E KASUTUSJUHEND ÕHUKUIVATI TRT-BA-TTK31E-TC-002-ET

TTK 31 E KASUTUSJUHEND ÕHUKUIVATI TRT-BA-TTK31E-TC-002-ET TTK 31 E ET KASUTUSJUHEND ÕHUKUIVATI TRT-BA-TTK31E-TC-002-ET Sisukord Märkused kasutusjuhendi kohta... 01 Teave seadme kohta... 02 Ohutus... 04 Transport... 05 Kasutamine... 05 Vead ja rikked... 10 Hooldus...

More information

Cold rolled narrow steel strip for heat treatment - Technical delivery conditions - Part 3: Steels for quenching and tempering

Cold rolled narrow steel strip for heat treatment - Technical delivery conditions - Part 3: Steels for quenching and tempering EESTI STANDARD EVS-EN 10132-3:2000 Cold rolled narrow steel strip for heat treatment - Technical delivery conditions - Part 3: Steels for quenching and tempering EESTI STANDARDIKESKUS EESTI STANDARDI EESSÕNA

More information

LÄÄNEMERE PIIRKONNA PROGRAMMI PROJEKTI BalticClimate TRANSPORDI JUHTUMIUURINGU ANALÜÜS LÕPPARUANNE

LÄÄNEMERE PIIRKONNA PROGRAMMI PROJEKTI BalticClimate TRANSPORDI JUHTUMIUURINGU ANALÜÜS LÕPPARUANNE LÄÄNEMERE PIIRKONNA PROGRAMMI 2007-2013 PROJEKTI BalticClimate TRANSPORDI JUHTUMIUURINGU ANALÜÜS LÕPPARUANNE TEEDEINSTITUUT Tallinn, 2012 TALLINNA TEHNIKAÜLIKOOL TEEDEINSTITUUT EL Läänemere piirkonna programmi

More information

This document is a preview generated by EVS

This document is a preview generated by EVS EESTI STANDARD EVS-EN 15694:2009 Agricultural tractors - Passenger seat - Requirements and test procedures EESTI STANDARDI EESSÕNA Käesolev Eesti standard EVS-EN 15694:2009 sisaldab Euroopa standardi EN

More information

PÄIKESEELEKTRIJAAMADE TOOTLIKKUSE PROGNOOSIDE PAIKAPIDAVUS

PÄIKESEELEKTRIJAAMADE TOOTLIKKUSE PROGNOOSIDE PAIKAPIDAVUS Deve Andreson PÄIKESEELEKTRIJAAMADE TOOTLIKKUSE PROGNOOSIDE PAIKAPIDAVUS LÕPUTÖÖ Ringmajanduse ja tehnoloogia instituut Keskkonnatehnoloogia- ja juhtimise eriala Tallinn 2018 Mina, Deve Andreson, tõendan,

More information

Sentinel Kinetic MVHR ja Kinetic Plus MVHR

Sentinel Kinetic MVHR ja Kinetic Plus MVHR V:\Technical\ARTWORK\Fitting & Wiring\Word Files COMPLETE\442073S.doc Sentinel Kinetic MVHR ja Kinetic Plus MVHR toimimine & seire Stock Ref. N 438222 Kinetic B 438222A Kinetic BS 443319 Kinetic BH 443319A

More information

MODELING QLC FLASH RELIABILITY. Nenad Miladinovic

MODELING QLC FLASH RELIABILITY. Nenad Miladinovic MODELING QLC FLASH RELIABILITY Nenad Miladinovic INTRODUCTION Introduction of 3D NAND has put performance of TLC parts on par with performance of 2D MLC parts. The gap has emerged at the lower end of the

More information

Kombineeritud kütusekulu l/100 km. 1.0 DOHC Classic Manuaal Bensiin 4,7 48/ DOHC Comfort Manuaal Bensiin 4,7 48/ Metallikvärv 250

Kombineeritud kütusekulu l/100 km. 1.0 DOHC Classic Manuaal Bensiin 4,7 48/ DOHC Comfort Manuaal Bensiin 4,7 48/ Metallikvärv 250 i10 i10 i20 5d i20 Active i20 5d 1.0 DOHC Classic Manuaal Bensiin 4,7 48/66 9 990 1.0 DOHC Comfort Manuaal Bensiin 4,7 48/66 11 590 1.2 MPI ISG Classic Manuaal Bensiin 4,7 55/75 12 590 11 590 1.2 MPI ISG

More information

This document is a preview generated by EVS

This document is a preview generated by EVS EESTI STANDARD EVS-EN 12953-6:2011 Trummelkatlad. Osa 6: Nõuded katla seadmestikule Shell boilers - Part 6: Requirements for equipment for the boiler EESTI STANDARDI EESSÕNA Käesolev Eesti standard EVS-EN

More information

Modelling and Verification of Relay Interlocking Systems

Modelling and Verification of Relay Interlocking Systems Modelling and Verification of Relay Interlocking Systems Anne E. Haxthausen & Marie Le Bliguet & Andreas Andersen Kjær Informatics and Mathematical Modelling Technical University of Denmark Modelling and

More information

This document is a preview generated by EVS

This document is a preview generated by EVS EESTI STANDARD EVS-EN 171-:2000 Alumiinium ja alumiiniumisulamid. Tõmbetoorikud. Osa : Erinõuded mehaanika alal kasutamiseks (välja arvatud keevitamine) Aluminium and aluminium alloys - Drawing stock -

More information

AWD18E CORDLESS DRILL AKUTRELL

AWD18E CORDLESS DRILL AKUTRELL AWD18E CORDLESS DRILL AKUTRELL Instruction Manual Kasutusjuhend Original instructions Originaaljuhendi tõlge Please read this handbook carefully before using the tool! Enne tööriista kasutamist loe juhend

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 15: Dynamic CMOS CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 15: Dynamic CMOS [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN 411 L15

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-29 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Clock domains multiple FPGA design KLUGE Alexander PH ESE FE Division CERN 385, rte

More information

LOGO. Eesti Arengukoostöö ja Humanitaarabi

LOGO. Eesti Arengukoostöö ja Humanitaarabi LOGO KASUTUSJUHEND Eesti Arengukoostöö ja Humanitaarabi 1.1 Logo tähendus Logo element, mille ühenduses olevad kujundatud lülid on seotud, on tuletatud Eesti rahvuselementidest. Märgis olevad lahus elemendid

More information

TERE TULEMAST UUDE VOLVOSSE!

TERE TULEMAST UUDE VOLVOSSE! QUICK GUIDE TERE TULEMAST UUDE VOLVOSSE! Selles kaustas on valik enimkasutatavaid funktsioone teie autos. Kasutus- ja muud juhendid sisaldavad ohutusteavet ning kõiki hoiatus- ja tähelepanu nõudvaid tekste

More information

C4.1. Elektripaigaldus- ja kasutusjuhend

C4.1. Elektripaigaldus- ja kasutusjuhend C4.1 EE Elektripaigaldus- ja kasutusjuhend EE Sisukord 1. ELEKTRIPAIGALDUSJUHEND... 3 1.1. Elektritoiteühendus... 3 1.2. Juhtpaneeli paigaldusnõuded... 3 1.3. Köögi tõmbekapi ühendamine... 4 1.4. Väliselementide

More information

ABB June 19, Slide 1

ABB June 19, Slide 1 Dr Simon Round, Head of Technology Management, MATLAB Conference 2015, Bern Switzerland, 9 June 2015 A Decade of Efficiency Gains Leveraging modern development methods and the rising computational performance-price

More information

CURRICULUM VITAE. Name : Ali Kamil Makki Al-Shaikhli Place and Date of Birth : Baghdad, Iraq, 2 nd May 1950 Languages : Arabic and English

CURRICULUM VITAE. Name : Ali Kamil Makki Al-Shaikhli Place and Date of Birth : Baghdad, Iraq, 2 nd May 1950 Languages : Arabic and English CURRICULUM VITAE Name : Ali Kamil Makki Al-Shaikhli Place and Date of Birth : Baghdad, Iraq, 2 nd May 1950 Languages : Arabic and English UNIVERSITY DEGREES Diploma (with Distinction) in Electrical and

More information